MTL_Monitor/tests/washing_machine/properties/vhdl_prop4/Prop4.topEntity/topEntity.sdc

3 lines
83 B
Tcl

create_clock -name {clk} -period 10.000 -waveform {0.000 5.000} [get_ports {clk}]