MTL_Monitor/tests/washing_machine/properties/all_props_combined/topEntity.vhdl

3713 lines
162 KiB
VHDL

-- Automatically generated VHDL-93
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use IEEE.MATH_REAL.ALL;
use std.textio.all;
use work.all;
use work.Prop_combined_topEntity_types.all;
entity topEntity is
port(-- clock
clk : in Prop_combined_topEntity_types.clk_System;
-- reset
rst : in Prop_combined_topEntity_types.rst_System;
-- enable
en : in Prop_combined_topEntity_types.en_System;
eta1_0 : in boolean;
eta1_1 : in boolean;
eta1_2 : in boolean;
eta1_3 : in boolean;
eta1_4 : in boolean;
eta1_5 : in boolean;
ccase_alt_0 : out boolean;
ccase_alt_1 : out boolean;
ccase_alt_2 : out boolean;
ccase_alt_3 : out boolean;
ccase_alt_4 : out boolean);
end;
architecture structural of topEntity is
-- prop_combined.hs:10:1-154
signal drain : boolean;
-- prop_combined.hs:10:1-154
signal dry : boolean;
-- prop_combined.hs:10:1-154
signal start : boolean;
-- prop_combined.hs:10:1-154
signal wash : boolean;
-- prop_combined.hs:10:1-154
signal fill : boolean;
-- prop_combined.hs:10:1-154
signal soak : boolean;
-- Prop5.hs:8:1-103
signal \c$ds_app_arg\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 19) := Prop_combined_topEntity_types.array_of_signed_2'( to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2) );
signal result : boolean;
-- Queue30.hs:26:1-7
signal \c$tt1_case_alt\ : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal \c$tt1_case_alt_0\ : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal \c$tt2_case_alt\ : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal \c$tt2_case_alt_0\ : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal \c$ff1_case_alt\ : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal \c$ff1_case_alt_0\ : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal \c$ff2_case_alt\ : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal \c$ff2_case_alt_0\ : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal \c$mm1_case_alt\ : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal \c$mm1_case_alt_0\ : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal \c$mm2_case_alt\ : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal \c$mm2_case_alt_0\ : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal \c$tm1_case_alt\ : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal \c$tm1_case_alt_0\ : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal \c$tm2_case_alt\ : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal \c$tm2_case_alt_0\ : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal \c$fm1_case_alt\ : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal \c$fm1_case_alt_0\ : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal \c$fm2_case_alt\ : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal \c$fm2_case_alt_0\ : unsigned(4 downto 0);
signal result_0 : Prop_combined_topEntity_types.array_of_signed_2(0 to 19);
signal \c$app_arg\ : signed(1 downto 0);
signal \c$case_alt\ : Prop_combined_topEntity_types.Tup2;
-- Queue30.hs:26:1-7
signal tt11 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal tt21 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal ff11 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal ff21 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal mm11 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal mm21 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal tm11 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal tm21 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal fm11 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal fm21 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal tt12 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal tt22 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal ff12 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal ff22 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal mm12 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal mm22 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal tm12 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal tm22 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal fm12 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal fm22 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal tt13 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal tt23 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal ff13 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal ff23 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal mm13 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal mm23 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal tm13 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal tm23 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal fm13 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal fm23 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal fm2 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal fm1 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal tm2 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal tm1 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal mm2 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal mm1 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal ff2 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal ff1 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal tt2 : unsigned(4 downto 0);
-- Queue30.hs:26:1-7
signal tt1 : unsigned(4 downto 0);
-- Prop5.hs:11:1-131
signal \c$ds_app_arg_0\ : Prop_combined_topEntity_types.Tup3 := ( Tup3_sel0_unsigned => to_unsigned(0,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(0,5)
, Tup10_sel9_unsigned_9 => to_unsigned(19,5) ) );
signal result_1 : Prop_combined_topEntity_types.Tup10;
signal result_2 : Prop_combined_topEntity_types.Tup2_0;
-- ProcessingElement.hs:9:1-777
signal opcode : unsigned(0 downto 0);
-- ProcessingElement.hs:9:1-777
signal ds4 : Prop_combined_topEntity_types.Tup10;
-- Prop5.hs:10:1-131
signal \c$ds_app_arg_1\ : Prop_combined_topEntity_types.Tup3 := ( Tup3_sel0_unsigned => to_unsigned(1,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(0,5)
, Tup10_sel7_unsigned_7 => to_unsigned(19,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(19,5)
, Tup10_sel9_unsigned_9 => to_unsigned(19,5) ) );
signal result_3 : Prop_combined_topEntity_types.Tup10;
signal result_4 : Prop_combined_topEntity_types.Tup2_0;
-- ProcessingElement.hs:9:1-777
signal opcode_0 : unsigned(0 downto 0);
-- ProcessingElement.hs:9:1-777
signal ds4_0 : Prop_combined_topEntity_types.Tup10;
-- Prop5.hs:9:1-128
signal \c$ds_app_arg_2\ : Prop_combined_topEntity_types.Tup3 := ( Tup3_sel0_unsigned => to_unsigned(1,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(0,5)
, Tup10_sel5_unsigned_5 => to_unsigned(0,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(0,5)
, Tup10_sel3_unsigned_3 => to_unsigned(0,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) ) );
signal result_5 : Prop_combined_topEntity_types.Tup10;
signal result_6 : Prop_combined_topEntity_types.Tup2_0;
-- ProcessingElement.hs:9:1-777
signal opcode_1 : unsigned(0 downto 0);
-- ProcessingElement.hs:9:1-777
signal ds4_1 : Prop_combined_topEntity_types.Tup10;
-- Prop4.hs:10:1-45
signal \c$ds_app_arg_3\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 0) := Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2));
signal result_7 : boolean;
signal \c$case_alt_0\ : signed(1 downto 0);
signal \c$case_alt_1\ : signed(1 downto 0);
signal \c$case_alt_2\ : signed(1 downto 0);
signal \c$case_alt_3\ : signed(1 downto 0);
signal \c$case_alt_4\ : signed(1 downto 0);
-- Queue.hs:20:1-12
signal fm1_0 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal fm2_0 : unsigned(4 downto 0);
signal \c$app_arg_0\ : boolean;
-- Queue.hs:20:1-12
signal tm1_0 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tm2_0 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal mm1_0 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal mm2_0 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal ff1_0 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal ff2_0 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tt1_0 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tt2_0 : unsigned(4 downto 0);
signal \c$app_arg_1\ : signed(1 downto 0);
signal result_8 : Prop_combined_topEntity_types.Tup2_1;
-- Prop4.hs:12:1-124
signal \c$ds_app_arg_4\ : Prop_combined_topEntity_types.Tup3 := ( Tup3_sel0_unsigned => to_unsigned(0,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(0,5)
, Tup10_sel1_unsigned_1 => to_unsigned(0,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(0,5)
, Tup10_sel3_unsigned_3 => to_unsigned(0,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) ) );
signal result_9 : Prop_combined_topEntity_types.Tup10;
signal result_10 : Prop_combined_topEntity_types.Tup2_0;
-- ProcessingElement.hs:9:1-777
signal opcode_2 : unsigned(0 downto 0);
-- ProcessingElement.hs:9:1-777
signal ds4_2 : Prop_combined_topEntity_types.Tup10;
-- Prop4.hs:8:1-63
signal \c$ds_app_arg_5\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 6) := Prop_combined_topEntity_types.array_of_signed_2'( to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2) );
signal result_11 : boolean;
signal \c$app_arg_2\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 6);
signal \c$app_arg_3\ : signed(1 downto 0);
signal result_12 : Prop_combined_topEntity_types.Tup2_2;
-- Prop4.hs:16:1-125
signal \c$ds_app_arg_6\ : Prop_combined_topEntity_types.Tup3 := ( Tup3_sel0_unsigned => to_unsigned(1,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(4,5)
, Tup10_sel1_unsigned_1 => to_unsigned(6,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(0,5)
, Tup10_sel5_unsigned_5 => to_unsigned(0,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(0,5)
, Tup10_sel5_unsigned_5 => to_unsigned(0,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(6,5)
, Tup10_sel9_unsigned_9 => to_unsigned(6,5) ) );
signal result_13 : Prop_combined_topEntity_types.Tup10;
signal result_14 : Prop_combined_topEntity_types.Tup2_0;
-- ProcessingElement.hs:9:1-777
signal opcode_3 : unsigned(0 downto 0);
-- ProcessingElement.hs:9:1-777
signal ds4_3 : Prop_combined_topEntity_types.Tup10;
-- Prop4.hs:8:1-63
signal \c$ds_app_arg_7\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 6) := Prop_combined_topEntity_types.array_of_signed_2'( to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2) );
signal result_15 : boolean;
signal \c$app_arg_4\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 6);
signal \c$app_arg_5\ : signed(1 downto 0);
signal result_16 : Prop_combined_topEntity_types.Tup2_2;
-- Prop4.hs:14:1-125
signal \c$ds_app_arg_8\ : Prop_combined_topEntity_types.Tup3 := ( Tup3_sel0_unsigned => to_unsigned(1,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(0,5)
, Tup10_sel3_unsigned_3 => to_unsigned(0,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(0,5)
, Tup10_sel1_unsigned_1 => to_unsigned(0,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) ) );
signal result_17 : Prop_combined_topEntity_types.Tup10;
signal result_18 : Prop_combined_topEntity_types.Tup2_0;
-- ProcessingElement.hs:9:1-777
signal opcode_4 : unsigned(0 downto 0);
-- ProcessingElement.hs:9:1-777
signal ds4_4 : Prop_combined_topEntity_types.Tup10;
-- Prop3.hs:8:1-44
signal \c$ds_app_arg_9\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 0) := Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2));
signal result_19 : boolean;
signal \c$case_alt_5\ : signed(1 downto 0);
signal \c$case_alt_6\ : signed(1 downto 0);
signal \c$case_alt_7\ : signed(1 downto 0);
signal \c$case_alt_8\ : signed(1 downto 0);
signal \c$case_alt_9\ : signed(1 downto 0);
-- Queue.hs:20:1-12
signal fm1_1 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal fm2_1 : unsigned(4 downto 0);
signal \c$app_arg_6\ : boolean;
-- Queue.hs:20:1-12
signal tm1_1 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tm2_1 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal mm1_1 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal mm2_1 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal ff1_1 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal ff2_1 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tt1_1 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tt2_1 : unsigned(4 downto 0);
signal \c$app_arg_7\ : signed(1 downto 0);
signal result_20 : Prop_combined_topEntity_types.Tup2_1;
-- Prop3.hs:13:1-124
signal \c$ds_app_arg_10\ : Prop_combined_topEntity_types.Tup3 := ( Tup3_sel0_unsigned => to_unsigned(0,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(0,5)
, Tup10_sel1_unsigned_1 => to_unsigned(0,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(0,5)
, Tup10_sel3_unsigned_3 => to_unsigned(0,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) ) );
signal result_21 : Prop_combined_topEntity_types.Tup10;
signal result_22 : Prop_combined_topEntity_types.Tup2_0;
-- ProcessingElement.hs:9:1-777
signal opcode_5 : unsigned(0 downto 0);
-- ProcessingElement.hs:9:1-777
signal ds4_5 : Prop_combined_topEntity_types.Tup10;
-- Prop3.hs:8:1-44
signal \c$ds_app_arg_11\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 0) := Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2));
signal result_23 : boolean;
signal \c$case_alt_10\ : signed(1 downto 0);
signal \c$case_alt_11\ : signed(1 downto 0);
signal \c$case_alt_12\ : signed(1 downto 0);
signal \c$case_alt_13\ : signed(1 downto 0);
signal \c$case_alt_14\ : signed(1 downto 0);
-- Queue.hs:20:1-12
signal fm1_2 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal fm2_2 : unsigned(4 downto 0);
signal \c$app_arg_8\ : boolean;
-- Queue.hs:20:1-12
signal tm1_2 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tm2_2 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal mm1_2 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal mm2_2 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal ff1_2 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal ff2_2 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tt1_2 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tt2_2 : unsigned(4 downto 0);
signal \c$app_arg_9\ : signed(1 downto 0);
signal result_24 : Prop_combined_topEntity_types.Tup2_1;
-- Prop3.hs:17:1-122
signal \c$ds_app_arg_12\ : Prop_combined_topEntity_types.Tup3 := ( Tup3_sel0_unsigned => to_unsigned(1,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(1,5)
, Tup10_sel1_unsigned_1 => to_unsigned(1,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(0,5)
, Tup10_sel5_unsigned_5 => to_unsigned(0,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(1,5)
, Tup10_sel3_unsigned_3 => to_unsigned(1,5)
, Tup10_sel4_unsigned_4 => to_unsigned(0,5)
, Tup10_sel5_unsigned_5 => to_unsigned(0,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) ) );
signal result_25 : Prop_combined_topEntity_types.Tup10;
signal result_26 : Prop_combined_topEntity_types.Tup2_0;
-- ProcessingElement.hs:9:1-777
signal opcode_6 : unsigned(0 downto 0);
-- ProcessingElement.hs:9:1-777
signal ds4_6 : Prop_combined_topEntity_types.Tup10;
-- Prop3.hs:8:1-44
signal \c$ds_app_arg_13\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 0) := Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2));
signal result_27 : boolean;
signal \c$case_alt_15\ : signed(1 downto 0);
signal \c$case_alt_16\ : signed(1 downto 0);
signal \c$case_alt_17\ : signed(1 downto 0);
signal \c$case_alt_18\ : signed(1 downto 0);
signal \c$case_alt_19\ : signed(1 downto 0);
-- Queue.hs:20:1-12
signal fm1_3 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal fm2_3 : unsigned(4 downto 0);
signal \c$app_arg_10\ : boolean;
-- Queue.hs:20:1-12
signal tm1_3 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tm2_3 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal mm1_3 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal mm2_3 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal ff1_3 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal ff2_3 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tt1_3 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tt2_3 : unsigned(4 downto 0);
signal \c$app_arg_11\ : signed(1 downto 0);
signal result_28 : Prop_combined_topEntity_types.Tup2_1;
-- Prop3.hs:13:1-124
signal \c$ds_app_arg_14\ : Prop_combined_topEntity_types.Tup3 := ( Tup3_sel0_unsigned => to_unsigned(0,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(0,5)
, Tup10_sel1_unsigned_1 => to_unsigned(0,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(0,5)
, Tup10_sel3_unsigned_3 => to_unsigned(0,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) ) );
signal result_29 : Prop_combined_topEntity_types.Tup10;
signal result_30 : Prop_combined_topEntity_types.Tup2_0;
-- ProcessingElement.hs:9:1-777
signal opcode_7 : unsigned(0 downto 0);
-- ProcessingElement.hs:9:1-777
signal ds4_7 : Prop_combined_topEntity_types.Tup10;
-- Prop3.hs:8:1-44
signal \c$ds_app_arg_15\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 0) := Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2));
signal result_31 : boolean;
signal \c$case_alt_20\ : signed(1 downto 0);
signal \c$case_alt_21\ : signed(1 downto 0);
signal \c$case_alt_22\ : signed(1 downto 0);
signal \c$case_alt_23\ : signed(1 downto 0);
signal \c$case_alt_24\ : signed(1 downto 0);
-- Queue.hs:20:1-12
signal fm1_4 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal fm2_4 : unsigned(4 downto 0);
signal \c$app_arg_12\ : boolean;
-- Queue.hs:20:1-12
signal tm1_4 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tm2_4 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal mm1_4 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal mm2_4 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal ff1_4 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal ff2_4 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tt1_4 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tt2_4 : unsigned(4 downto 0);
signal \c$app_arg_13\ : signed(1 downto 0);
signal result_32 : Prop_combined_topEntity_types.Tup2_1;
-- Prop3.hs:15:1-125
signal \c$ds_app_arg_16\ : Prop_combined_topEntity_types.Tup3 := ( Tup3_sel0_unsigned => to_unsigned(1,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(0,5)
, Tup10_sel3_unsigned_3 => to_unsigned(0,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(0,5)
, Tup10_sel1_unsigned_1 => to_unsigned(0,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) ) );
signal result_33 : Prop_combined_topEntity_types.Tup10;
signal result_34 : Prop_combined_topEntity_types.Tup2_0;
-- ProcessingElement.hs:9:1-777
signal opcode_8 : unsigned(0 downto 0);
-- ProcessingElement.hs:9:1-777
signal ds4_8 : Prop_combined_topEntity_types.Tup10;
-- Prop2.hs:10:1-43
signal \c$ds_app_arg_17\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 0) := Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2));
signal result_35 : boolean;
signal \c$case_alt_25\ : signed(1 downto 0);
signal \c$case_alt_26\ : signed(1 downto 0);
signal \c$case_alt_27\ : signed(1 downto 0);
signal \c$case_alt_28\ : signed(1 downto 0);
signal \c$case_alt_29\ : signed(1 downto 0);
-- Queue.hs:20:1-12
signal fm1_5 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal fm2_5 : unsigned(4 downto 0);
signal \c$app_arg_14\ : boolean;
-- Queue.hs:20:1-12
signal tm1_5 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tm2_5 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal mm1_5 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal mm2_5 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal ff1_5 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal ff2_5 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tt1_5 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tt2_5 : unsigned(4 downto 0);
signal \c$app_arg_15\ : signed(1 downto 0);
signal result_36 : Prop_combined_topEntity_types.Tup2_1;
-- Prop2.hs:12:1-124
signal \c$ds_app_arg_18\ : Prop_combined_topEntity_types.Tup3 := ( Tup3_sel0_unsigned => to_unsigned(0,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(0,5)
, Tup10_sel1_unsigned_1 => to_unsigned(0,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(0,5)
, Tup10_sel3_unsigned_3 => to_unsigned(0,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) ) );
signal result_37 : Prop_combined_topEntity_types.Tup10;
signal result_38 : Prop_combined_topEntity_types.Tup2_0;
-- ProcessingElement.hs:9:1-777
signal opcode_9 : unsigned(0 downto 0);
-- ProcessingElement.hs:9:1-777
signal ds4_9 : Prop_combined_topEntity_types.Tup10;
-- Prop2.hs:8:1-76
signal \c$ds_app_arg_19\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 11) := Prop_combined_topEntity_types.array_of_signed_2'( to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2) );
signal result_39 : boolean;
signal \c$app_arg_16\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 11);
signal \c$app_arg_17\ : signed(1 downto 0);
signal result_40 : Prop_combined_topEntity_types.Tup2_3;
-- Prop2.hs:16:1-124
signal \c$ds_app_arg_20\ : Prop_combined_topEntity_types.Tup3 := ( Tup3_sel0_unsigned => to_unsigned(1,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(0,5)
, Tup10_sel5_unsigned_5 => to_unsigned(0,5)
, Tup10_sel6_unsigned_6 => to_unsigned(11,5)
, Tup10_sel7_unsigned_7 => to_unsigned(11,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(7,5)
, Tup10_sel3_unsigned_3 => to_unsigned(11,5)
, Tup10_sel4_unsigned_4 => to_unsigned(0,5)
, Tup10_sel5_unsigned_5 => to_unsigned(0,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) ) );
signal result_41 : Prop_combined_topEntity_types.Tup10;
signal result_42 : Prop_combined_topEntity_types.Tup2_0;
-- ProcessingElement.hs:9:1-777
signal opcode_10 : unsigned(0 downto 0);
-- ProcessingElement.hs:9:1-777
signal ds4_10 : Prop_combined_topEntity_types.Tup10;
-- Prop2.hs:8:1-76
signal \c$ds_app_arg_21\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 11) := Prop_combined_topEntity_types.array_of_signed_2'( to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2) );
signal result_43 : boolean;
signal \c$app_arg_18\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 11);
signal \c$app_arg_19\ : signed(1 downto 0);
signal result_44 : Prop_combined_topEntity_types.Tup2_3;
-- Prop2.hs:14:1-125
signal \c$ds_app_arg_22\ : Prop_combined_topEntity_types.Tup3 := ( Tup3_sel0_unsigned => to_unsigned(1,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(0,5)
, Tup10_sel3_unsigned_3 => to_unsigned(0,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(0,5)
, Tup10_sel1_unsigned_1 => to_unsigned(0,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) ) );
signal result_45 : Prop_combined_topEntity_types.Tup10;
signal result_46 : Prop_combined_topEntity_types.Tup2_0;
-- ProcessingElement.hs:9:1-777
signal opcode_11 : unsigned(0 downto 0);
-- ProcessingElement.hs:9:1-777
signal ds4_11 : Prop_combined_topEntity_types.Tup10;
-- Prop1.hs:8:1-43
signal \c$ds_app_arg_23\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 0) := Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2));
signal result_47 : boolean;
signal \c$case_alt_30\ : signed(1 downto 0);
signal \c$case_alt_31\ : signed(1 downto 0);
signal \c$case_alt_32\ : signed(1 downto 0);
signal \c$case_alt_33\ : signed(1 downto 0);
signal \c$case_alt_34\ : signed(1 downto 0);
-- Queue.hs:20:1-12
signal fm1_6 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal fm2_6 : unsigned(4 downto 0);
signal \c$app_arg_20\ : boolean;
-- Queue.hs:20:1-12
signal tm1_6 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tm2_6 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal mm1_6 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal mm2_6 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal ff1_6 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal ff2_6 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tt1_6 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tt2_6 : unsigned(4 downto 0);
signal \c$app_arg_21\ : signed(1 downto 0);
signal result_48 : Prop_combined_topEntity_types.Tup2_1;
-- Prop1.hs:12:1-124
signal \c$ds_app_arg_24\ : Prop_combined_topEntity_types.Tup3 := ( Tup3_sel0_unsigned => to_unsigned(0,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(0,5)
, Tup10_sel1_unsigned_1 => to_unsigned(0,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(0,5)
, Tup10_sel3_unsigned_3 => to_unsigned(0,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) ) );
signal result_49 : Prop_combined_topEntity_types.Tup10;
signal result_50 : Prop_combined_topEntity_types.Tup2_0;
-- ProcessingElement.hs:9:1-777
signal opcode_12 : unsigned(0 downto 0);
-- ProcessingElement.hs:9:1-777
signal ds4_12 : Prop_combined_topEntity_types.Tup10;
-- Prop1.hs:8:1-43
signal \c$ds_app_arg_25\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 0) := Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2));
signal result_51 : boolean;
signal \c$case_alt_35\ : signed(1 downto 0);
signal \c$case_alt_36\ : signed(1 downto 0);
signal \c$case_alt_37\ : signed(1 downto 0);
signal \c$case_alt_38\ : signed(1 downto 0);
signal \c$case_alt_39\ : signed(1 downto 0);
-- Queue.hs:20:1-12
signal fm1_7 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal fm2_7 : unsigned(4 downto 0);
signal \c$app_arg_22\ : boolean;
-- Queue.hs:20:1-12
signal tm1_7 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tm2_7 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal mm1_7 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal mm2_7 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal ff1_7 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal ff2_7 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tt1_7 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tt2_7 : unsigned(4 downto 0);
signal \c$app_arg_23\ : signed(1 downto 0);
signal result_52 : Prop_combined_topEntity_types.Tup2_1;
-- Prop1.hs:14:1-125
signal \c$ds_app_arg_26\ : Prop_combined_topEntity_types.Tup3 := ( Tup3_sel0_unsigned => to_unsigned(1,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(0,5)
, Tup10_sel3_unsigned_3 => to_unsigned(0,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(0,5)
, Tup10_sel1_unsigned_1 => to_unsigned(0,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) ) );
signal result_53 : Prop_combined_topEntity_types.Tup10;
signal result_54 : Prop_combined_topEntity_types.Tup2_0;
-- ProcessingElement.hs:9:1-777
signal opcode_13 : unsigned(0 downto 0);
-- ProcessingElement.hs:9:1-777
signal ds4_13 : Prop_combined_topEntity_types.Tup10;
-- Prop1.hs:8:1-43
signal \c$ds_app_arg_27\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 0) := Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2));
signal result_55 : boolean;
signal \c$case_alt_40\ : signed(1 downto 0);
signal \c$case_alt_41\ : signed(1 downto 0);
signal \c$case_alt_42\ : signed(1 downto 0);
signal \c$case_alt_43\ : signed(1 downto 0);
signal \c$case_alt_44\ : signed(1 downto 0);
-- Queue.hs:20:1-12
signal fm1_8 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal fm2_8 : unsigned(4 downto 0);
signal \c$app_arg_24\ : boolean;
-- Queue.hs:20:1-12
signal tm1_8 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tm2_8 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal mm1_8 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal mm2_8 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal ff1_8 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal ff2_8 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tt1_8 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tt2_8 : unsigned(4 downto 0);
signal \c$app_arg_25\ : signed(1 downto 0);
signal result_56 : Prop_combined_topEntity_types.Tup2_1;
-- Prop1.hs:14:1-125
signal \c$ds_app_arg_28\ : Prop_combined_topEntity_types.Tup3 := ( Tup3_sel0_unsigned => to_unsigned(1,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(0,5)
, Tup10_sel3_unsigned_3 => to_unsigned(0,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(0,5)
, Tup10_sel1_unsigned_1 => to_unsigned(0,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) ) );
signal result_57 : Prop_combined_topEntity_types.Tup10;
signal result_58 : Prop_combined_topEntity_types.Tup2_0;
-- ProcessingElement.hs:9:1-777
signal opcode_14 : unsigned(0 downto 0);
-- ProcessingElement.hs:9:1-777
signal ds4_14 : Prop_combined_topEntity_types.Tup10;
signal eta1 : Prop_combined_topEntity_types.Tup6;
signal \c$tt1_case_alt_selection_res\ : boolean;
signal \c$tt1_case_alt_selection_res_0\ : boolean;
signal \c$tt2_case_alt_selection_res\ : boolean;
signal \c$tt2_case_alt_selection_res_0\ : boolean;
signal \c$ff1_case_alt_selection_res\ : boolean;
signal \c$ff1_case_alt_selection_res_0\ : boolean;
signal \c$ff2_case_alt_selection_res\ : boolean;
signal \c$ff2_case_alt_selection_res_0\ : boolean;
signal \c$mm1_case_alt_selection_res\ : boolean;
signal \c$mm1_case_alt_selection_res_0\ : boolean;
signal \c$mm2_case_alt_selection_res\ : boolean;
signal \c$mm2_case_alt_selection_res_0\ : boolean;
signal \c$tm1_case_alt_selection_res\ : boolean;
signal \c$tm1_case_alt_selection_res_0\ : boolean;
signal \c$tm2_case_alt_selection_res\ : boolean;
signal \c$tm2_case_alt_selection_res_0\ : boolean;
signal \c$fm1_case_alt_selection_res\ : boolean;
signal \c$fm1_case_alt_selection_res_0\ : boolean;
signal \c$fm2_case_alt_selection_res\ : boolean;
signal \c$fm2_case_alt_selection_res_0\ : boolean;
signal \c$vec\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 20);
signal result_0_1 : Prop_combined_topEntity_types.Tup2_4;
signal \c$vec_0\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 19);
signal fm2_selection_res : boolean;
signal fm1_selection_res : boolean;
signal tm2_selection_res : boolean;
signal tm1_selection_res : boolean;
signal mm2_selection_res : boolean;
signal mm1_selection_res : boolean;
signal ff2_selection_res : boolean;
signal ff1_selection_res : boolean;
signal tt2_selection_res : boolean;
signal tt1_selection_res : boolean;
signal ds4_selection_res : boolean;
signal ds4_selection_res_0 : boolean;
signal ds4_selection_res_1 : boolean;
signal \c$case_alt_selection_res_4\ : boolean;
signal \c$case_alt_selection_res_5\ : boolean;
signal \c$case_alt_selection_res_6\ : boolean;
signal \c$case_alt_selection_res_7\ : boolean;
signal \c$case_alt_selection_res_8\ : boolean;
signal \c$vec_1\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 1);
signal \c$case_alt_sel_alt_19\ : Prop_combined_topEntity_types.Tup2_5;
signal \c$vec_2\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 1);
signal \c$app_arg_0_2\ : Prop_combined_topEntity_types.Tup2_5;
signal ds4_selection_res_2 : boolean;
signal \c$vec_3\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 7);
signal \c$app_arg_2_4\ : Prop_combined_topEntity_types.Tup2_6;
signal \c$vec_4\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 6);
signal ds4_selection_res_3 : boolean;
signal \c$vec_5\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 7);
signal \c$app_arg_4_6\ : Prop_combined_topEntity_types.Tup2_6;
signal \c$vec_6\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 6);
signal ds4_selection_res_4 : boolean;
signal \c$case_alt_selection_res_19\ : boolean;
signal \c$case_alt_selection_res_20\ : boolean;
signal \c$case_alt_selection_res_21\ : boolean;
signal \c$case_alt_selection_res_22\ : boolean;
signal \c$case_alt_selection_res_23\ : boolean;
signal \c$vec_7\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 1);
signal \c$case_alt_sel_alt_51\ : Prop_combined_topEntity_types.Tup2_5;
signal \c$vec_8\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 1);
signal \c$app_arg_6_9\ : Prop_combined_topEntity_types.Tup2_5;
signal ds4_selection_res_5 : boolean;
signal \c$case_alt_selection_res_24\ : boolean;
signal \c$case_alt_selection_res_25\ : boolean;
signal \c$case_alt_selection_res_26\ : boolean;
signal \c$case_alt_selection_res_27\ : boolean;
signal \c$case_alt_selection_res_28\ : boolean;
signal \c$vec_9\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 1);
signal \c$case_alt_sel_alt_63\ : Prop_combined_topEntity_types.Tup2_5;
signal \c$vec_10\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 1);
signal \c$app_arg_8_12\ : Prop_combined_topEntity_types.Tup2_5;
signal ds4_selection_res_6 : boolean;
signal \c$case_alt_selection_res_29\ : boolean;
signal \c$case_alt_selection_res_30\ : boolean;
signal \c$case_alt_selection_res_31\ : boolean;
signal \c$case_alt_selection_res_32\ : boolean;
signal \c$case_alt_selection_res_33\ : boolean;
signal \c$vec_11\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 1);
signal \c$case_alt_sel_alt_75\ : Prop_combined_topEntity_types.Tup2_5;
signal \c$vec_12\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 1);
signal \c$app_arg_10_15\ : Prop_combined_topEntity_types.Tup2_5;
signal ds4_selection_res_7 : boolean;
signal \c$case_alt_selection_res_34\ : boolean;
signal \c$case_alt_selection_res_35\ : boolean;
signal \c$case_alt_selection_res_36\ : boolean;
signal \c$case_alt_selection_res_37\ : boolean;
signal \c$case_alt_selection_res_38\ : boolean;
signal \c$vec_13\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 1);
signal \c$case_alt_sel_alt_87\ : Prop_combined_topEntity_types.Tup2_5;
signal \c$vec_14\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 1);
signal \c$app_arg_12_18\ : Prop_combined_topEntity_types.Tup2_5;
signal ds4_selection_res_8 : boolean;
signal \c$case_alt_selection_res_39\ : boolean;
signal \c$case_alt_selection_res_40\ : boolean;
signal \c$case_alt_selection_res_41\ : boolean;
signal \c$case_alt_selection_res_42\ : boolean;
signal \c$case_alt_selection_res_43\ : boolean;
signal \c$vec_15\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 1);
signal \c$case_alt_sel_alt_99\ : Prop_combined_topEntity_types.Tup2_5;
signal \c$vec_16\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 1);
signal \c$app_arg_14_21\ : Prop_combined_topEntity_types.Tup2_5;
signal ds4_selection_res_9 : boolean;
signal \c$vec_17\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 12);
signal \c$app_arg_16_23\ : Prop_combined_topEntity_types.Tup2_7;
signal \c$vec_18\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 11);
signal ds4_selection_res_10 : boolean;
signal \c$vec_19\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 12);
signal \c$app_arg_18_25\ : Prop_combined_topEntity_types.Tup2_7;
signal \c$vec_20\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 11);
signal ds4_selection_res_11 : boolean;
signal \c$case_alt_selection_res_54\ : boolean;
signal \c$case_alt_selection_res_55\ : boolean;
signal \c$case_alt_selection_res_56\ : boolean;
signal \c$case_alt_selection_res_57\ : boolean;
signal \c$case_alt_selection_res_58\ : boolean;
signal \c$vec_21\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 1);
signal \c$case_alt_sel_alt_131\ : Prop_combined_topEntity_types.Tup2_5;
signal \c$vec_22\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 1);
signal \c$app_arg_20_28\ : Prop_combined_topEntity_types.Tup2_5;
signal ds4_selection_res_12 : boolean;
signal \c$case_alt_selection_res_59\ : boolean;
signal \c$case_alt_selection_res_60\ : boolean;
signal \c$case_alt_selection_res_61\ : boolean;
signal \c$case_alt_selection_res_62\ : boolean;
signal \c$case_alt_selection_res_63\ : boolean;
signal \c$vec_23\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 1);
signal \c$case_alt_sel_alt_143\ : Prop_combined_topEntity_types.Tup2_5;
signal \c$vec_24\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 1);
signal \c$app_arg_22_31\ : Prop_combined_topEntity_types.Tup2_5;
signal ds4_selection_res_13 : boolean;
signal \c$case_alt_selection_res_64\ : boolean;
signal \c$case_alt_selection_res_65\ : boolean;
signal \c$case_alt_selection_res_66\ : boolean;
signal \c$case_alt_selection_res_67\ : boolean;
signal \c$case_alt_selection_res_68\ : boolean;
signal \c$vec_25\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 1);
signal \c$case_alt_sel_alt_155\ : Prop_combined_topEntity_types.Tup2_5;
signal \c$vec_26\ : Prop_combined_topEntity_types.array_of_signed_2(0 to 1);
signal \c$app_arg_24_34\ : Prop_combined_topEntity_types.Tup2_5;
signal ds4_selection_res_14 : boolean;
signal ccase_alt : Prop_combined_topEntity_types.Tup5;
begin
eta1 <= ( Tup6_sel0_boolean_0 => eta1_0
, Tup6_sel1_boolean_1 => eta1_1
, Tup6_sel2_boolean_2 => eta1_2
, Tup6_sel3_boolean_3 => eta1_3
, Tup6_sel4_boolean_4 => eta1_4
, Tup6_sel5_boolean_5 => eta1_5 );
drain <= eta1.Tup6_sel0_boolean_0;
dry <= eta1.Tup6_sel1_boolean_1;
start <= eta1.Tup6_sel2_boolean_2;
wash <= eta1.Tup6_sel3_boolean_3;
fill <= eta1.Tup6_sel4_boolean_4;
soak <= eta1.Tup6_sel5_boolean_5;
ccase_alt <= ( Tup5_sel0_boolean_0 => result_47
, Tup5_sel1_boolean_1 => result_35
, Tup5_sel2_boolean_2 => result_19
, Tup5_sel3_boolean_3 => result_7
, Tup5_sel4_boolean_4 => result );
-- register begin
cds_app_arg_register : process(clk,rst)
begin
if rst = '1' then
\c$ds_app_arg\ <= Prop_combined_topEntity_types.array_of_signed_2'( to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2) );
elsif rising_edge(clk) then
if en then
\c$ds_app_arg\ <= \c$case_alt\.Tup2_sel0_array_of_signed_2;
end if;
end if;
end process;
-- register end
result <= \c$case_alt\.Tup2_sel1_boolean;
\c$tt1_case_alt_selection_res\ <= tt12 <= tt13;
\c$tt1_case_alt\ <= tt12 when \c$tt1_case_alt_selection_res\ else
tt13;
\c$tt1_case_alt_selection_res_0\ <= tt11 <= tt13;
\c$tt1_case_alt_0\ <= tt11 when \c$tt1_case_alt_selection_res_0\ else
tt13;
\c$tt2_case_alt_selection_res\ <= tt22 <= tt23;
\c$tt2_case_alt\ <= tt22 when \c$tt2_case_alt_selection_res\ else
tt23;
\c$tt2_case_alt_selection_res_0\ <= tt21 <= tt23;
\c$tt2_case_alt_0\ <= tt21 when \c$tt2_case_alt_selection_res_0\ else
tt23;
\c$ff1_case_alt_selection_res\ <= ff12 <= ff13;
\c$ff1_case_alt\ <= ff12 when \c$ff1_case_alt_selection_res\ else
ff13;
\c$ff1_case_alt_selection_res_0\ <= ff11 <= ff13;
\c$ff1_case_alt_0\ <= ff11 when \c$ff1_case_alt_selection_res_0\ else
ff13;
\c$ff2_case_alt_selection_res\ <= ff22 <= ff23;
\c$ff2_case_alt\ <= ff22 when \c$ff2_case_alt_selection_res\ else
ff23;
\c$ff2_case_alt_selection_res_0\ <= ff21 <= ff23;
\c$ff2_case_alt_0\ <= ff21 when \c$ff2_case_alt_selection_res_0\ else
ff23;
\c$mm1_case_alt_selection_res\ <= mm12 <= mm13;
\c$mm1_case_alt\ <= mm12 when \c$mm1_case_alt_selection_res\ else
mm13;
\c$mm1_case_alt_selection_res_0\ <= mm11 <= mm13;
\c$mm1_case_alt_0\ <= mm11 when \c$mm1_case_alt_selection_res_0\ else
mm13;
\c$mm2_case_alt_selection_res\ <= mm22 <= mm23;
\c$mm2_case_alt\ <= mm22 when \c$mm2_case_alt_selection_res\ else
mm23;
\c$mm2_case_alt_selection_res_0\ <= mm21 <= mm23;
\c$mm2_case_alt_0\ <= mm21 when \c$mm2_case_alt_selection_res_0\ else
mm23;
\c$tm1_case_alt_selection_res\ <= tm12 <= tm13;
\c$tm1_case_alt\ <= tm12 when \c$tm1_case_alt_selection_res\ else
tm13;
\c$tm1_case_alt_selection_res_0\ <= tm11 <= tm13;
\c$tm1_case_alt_0\ <= tm11 when \c$tm1_case_alt_selection_res_0\ else
tm13;
\c$tm2_case_alt_selection_res\ <= tm22 <= tm23;
\c$tm2_case_alt\ <= tm22 when \c$tm2_case_alt_selection_res\ else
tm23;
\c$tm2_case_alt_selection_res_0\ <= tm21 <= tm23;
\c$tm2_case_alt_0\ <= tm21 when \c$tm2_case_alt_selection_res_0\ else
tm23;
\c$fm1_case_alt_selection_res\ <= fm12 <= fm13;
\c$fm1_case_alt\ <= fm12 when \c$fm1_case_alt_selection_res\ else
fm13;
\c$fm1_case_alt_selection_res_0\ <= fm11 <= fm13;
\c$fm1_case_alt_0\ <= fm11 when \c$fm1_case_alt_selection_res_0\ else
fm13;
\c$fm2_case_alt_selection_res\ <= fm22 <= fm23;
\c$fm2_case_alt\ <= fm22 when \c$fm2_case_alt_selection_res\ else
fm23;
\c$fm2_case_alt_selection_res_0\ <= fm21 <= fm23;
\c$fm2_case_alt_0\ <= fm21 when \c$fm2_case_alt_selection_res_0\ else
fm23;
\c$vec\ <= (Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2))) & Prop_combined_topEntity_types.array_of_signed_2'(\c$ds_app_arg\)));
result_0_1 <= (\c$vec\(0 to 20-1),\c$vec\(20 to \c$vec\'high));
\c$vec_0\ <= result_0_1.Tup2_4_sel0_array_of_signed_2_0;
-- imap begin
imap : block
function max (l,r : in natural) return natural is
begin
if l > r then return l;
else return r;
end if;
end function;
begin
imap_0 : for i in result_0'range generate
begin
fun_4 : block
signal \c$app_arg_26\ : signed(63 downto 0);
signal \c$case_alt_45\ : signed(1 downto 0);
signal \c$case_alt_46\ : signed(1 downto 0);
signal \c$case_alt_47\ : signed(1 downto 0);
signal \c$case_alt_48\ : signed(1 downto 0);
signal \c$case_alt_49\ : signed(1 downto 0);
signal \c$app_arg_27\ : boolean;
signal \c$case_alt_selection_res\ : boolean;
signal \c$case_alt_selection_res_0\ : boolean;
signal \c$case_alt_selection_res_1\ : boolean;
signal \c$case_alt_selection_res_2\ : boolean;
signal \c$case_alt_selection_res_3\ : boolean;
begin
result_0(i) <= \c$case_alt_45\;
\c$app_arg_26\ <= signed(std_logic_vector(resize(to_unsigned(i,max(1,integer(ceil(log2(real(20)))))),64)));
\c$case_alt_selection_res\ <= ((resize(unsigned(std_logic_vector(\c$app_arg_26\)),5)) <= tt2) and ((resize(unsigned(std_logic_vector(\c$app_arg_26\)),5)) >= tt1);
\c$case_alt_45\ <= to_signed(1,2) when \c$case_alt_selection_res\ else
\c$case_alt_46\;
\c$case_alt_selection_res_0\ <= ((resize(unsigned(std_logic_vector(\c$app_arg_26\)),5)) <= ff2) and ((resize(unsigned(std_logic_vector(\c$app_arg_26\)),5)) >= ff1);
\c$case_alt_46\ <= to_signed(-1,2) when \c$case_alt_selection_res_0\ else
\c$case_alt_47\;
\c$case_alt_selection_res_1\ <= ((resize(unsigned(std_logic_vector(\c$app_arg_26\)),5)) <= tm2) and (((resize(unsigned(std_logic_vector(\c$app_arg_26\)),5)) >= tm1) and \c$app_arg_27\);
\c$case_alt_47\ <= to_signed(1,2) when \c$case_alt_selection_res_1\ else
\c$case_alt_48\;
\c$case_alt_selection_res_2\ <= ((resize(unsigned(std_logic_vector(\c$app_arg_26\)),5)) <= fm2) and (((resize(unsigned(std_logic_vector(\c$app_arg_26\)),5)) >= fm1) and \c$app_arg_27\);
\c$case_alt_48\ <= to_signed(-1,2) when \c$case_alt_selection_res_2\ else
\c$case_alt_49\;
\c$case_alt_selection_res_3\ <= ((resize(unsigned(std_logic_vector(\c$app_arg_26\)),5)) <= mm2) and ((resize(unsigned(std_logic_vector(\c$app_arg_26\)),5)) >= mm1);
\c$case_alt_49\ <= to_signed(0,2) when \c$case_alt_selection_res_3\ else
\c$vec_0\(i);
\c$app_arg_27\ <= \c$vec_0\(i) = to_signed(0,2);
end block;
end generate;
end block;
-- imap end
-- index begin
indexVec : block
signal vec_index : integer range 0 to 20-1;
begin
vec_index <= to_integer(to_signed(19,64))
-- pragma translate_off
mod 20
-- pragma translate_on
;
\c$app_arg\ <= \c$ds_app_arg\(vec_index);
end block;
-- index end
\c$case_alt\ <= ( Tup2_sel0_array_of_signed_2 => result_0
, Tup2_sel1_boolean => \c$app_arg\ = to_signed(1,2) );
tt11 <= result_5.Tup10_sel0_unsigned_0;
tt21 <= result_5.Tup10_sel1_unsigned_1;
ff11 <= result_5.Tup10_sel2_unsigned_2;
ff21 <= result_5.Tup10_sel3_unsigned_3;
mm11 <= result_5.Tup10_sel4_unsigned_4;
mm21 <= result_5.Tup10_sel5_unsigned_5;
tm11 <= result_5.Tup10_sel6_unsigned_6;
tm21 <= result_5.Tup10_sel7_unsigned_7;
fm11 <= result_5.Tup10_sel8_unsigned_8;
fm21 <= result_5.Tup10_sel9_unsigned_9;
tt12 <= result_3.Tup10_sel0_unsigned_0;
tt22 <= result_3.Tup10_sel1_unsigned_1;
ff12 <= result_3.Tup10_sel2_unsigned_2;
ff22 <= result_3.Tup10_sel3_unsigned_3;
mm12 <= result_3.Tup10_sel4_unsigned_4;
mm22 <= result_3.Tup10_sel5_unsigned_5;
tm12 <= result_3.Tup10_sel6_unsigned_6;
tm22 <= result_3.Tup10_sel7_unsigned_7;
fm12 <= result_3.Tup10_sel8_unsigned_8;
fm22 <= result_3.Tup10_sel9_unsigned_9;
tt13 <= result_1.Tup10_sel0_unsigned_0;
tt23 <= result_1.Tup10_sel1_unsigned_1;
ff13 <= result_1.Tup10_sel2_unsigned_2;
ff23 <= result_1.Tup10_sel3_unsigned_3;
mm13 <= result_1.Tup10_sel4_unsigned_4;
mm23 <= result_1.Tup10_sel5_unsigned_5;
tm13 <= result_1.Tup10_sel6_unsigned_6;
tm23 <= result_1.Tup10_sel7_unsigned_7;
fm13 <= result_1.Tup10_sel8_unsigned_8;
fm23 <= result_1.Tup10_sel9_unsigned_9;
fm2_selection_res <= fm21 <= fm22;
fm2 <= \c$fm2_case_alt_0\ when fm2_selection_res else
\c$fm2_case_alt\;
fm1_selection_res <= fm11 <= fm12;
fm1 <= \c$fm1_case_alt_0\ when fm1_selection_res else
\c$fm1_case_alt\;
tm2_selection_res <= tm21 <= tm22;
tm2 <= \c$tm2_case_alt_0\ when tm2_selection_res else
\c$tm2_case_alt\;
tm1_selection_res <= tm11 <= tm12;
tm1 <= \c$tm1_case_alt_0\ when tm1_selection_res else
\c$tm1_case_alt\;
mm2_selection_res <= mm21 <= mm22;
mm2 <= \c$mm2_case_alt_0\ when mm2_selection_res else
\c$mm2_case_alt\;
mm1_selection_res <= mm11 <= mm12;
mm1 <= \c$mm1_case_alt_0\ when mm1_selection_res else
\c$mm1_case_alt\;
ff2_selection_res <= ff21 <= ff22;
ff2 <= \c$ff2_case_alt_0\ when ff2_selection_res else
\c$ff2_case_alt\;
ff1_selection_res <= ff11 <= ff12;
ff1 <= \c$ff1_case_alt_0\ when ff1_selection_res else
\c$ff1_case_alt\;
tt2_selection_res <= tt21 <= tt22;
tt2 <= \c$tt2_case_alt_0\ when tt2_selection_res else
\c$tt2_case_alt\;
tt1_selection_res <= tt11 <= tt12;
tt1 <= \c$tt1_case_alt_0\ when tt1_selection_res else
\c$tt1_case_alt\;
-- register begin
cds_app_arg_0_register : process(clk,rst)
begin
if rst = '1' then
\c$ds_app_arg_0\ <= ( Tup3_sel0_unsigned => to_unsigned(0,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(0,5)
, Tup10_sel9_unsigned_9 => to_unsigned(19,5) ) );
elsif rising_edge(clk) then
if en then
\c$ds_app_arg_0\ <= result_2.Tup2_0_sel0_Tup3;
end if;
end if;
end process;
-- register end
result_1 <= result_2.Tup2_0_sel1_Tup10;
result_2 <= ( Tup2_0_sel0_Tup3 => \c$ds_app_arg_0\
, Tup2_0_sel1_Tup10 => ( Tup10_sel0_unsigned_0 => ds4.Tup10_sel0_unsigned_0
, Tup10_sel1_unsigned_1 => ds4.Tup10_sel1_unsigned_1
, Tup10_sel2_unsigned_2 => ds4.Tup10_sel2_unsigned_2
, Tup10_sel3_unsigned_3 => ds4.Tup10_sel3_unsigned_3
, Tup10_sel4_unsigned_4 => ds4.Tup10_sel4_unsigned_4
, Tup10_sel5_unsigned_5 => ds4.Tup10_sel5_unsigned_5
, Tup10_sel6_unsigned_6 => ds4.Tup10_sel6_unsigned_6
, Tup10_sel7_unsigned_7 => ds4.Tup10_sel7_unsigned_7
, Tup10_sel8_unsigned_8 => ds4.Tup10_sel8_unsigned_8
, Tup10_sel9_unsigned_9 => ds4.Tup10_sel9_unsigned_9 ) );
opcode <= \c$ds_app_arg_0\.Tup3_sel0_unsigned;
ds4_selection_res <= ((opcode = to_unsigned(0,1)) and (start or dry)) or ((opcode = to_unsigned(1,1)) and start);
ds4 <= \c$ds_app_arg_0\.Tup3_sel1_Tup10_0 when ds4_selection_res else
\c$ds_app_arg_0\.Tup3_sel2_Tup10_1;
-- register begin
cds_app_arg_1_register : process(clk,rst)
begin
if rst = '1' then
\c$ds_app_arg_1\ <= ( Tup3_sel0_unsigned => to_unsigned(1,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(0,5)
, Tup10_sel7_unsigned_7 => to_unsigned(19,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(19,5)
, Tup10_sel9_unsigned_9 => to_unsigned(19,5) ) );
elsif rising_edge(clk) then
if en then
\c$ds_app_arg_1\ <= result_4.Tup2_0_sel0_Tup3;
end if;
end if;
end process;
-- register end
result_3 <= result_4.Tup2_0_sel1_Tup10;
result_4 <= ( Tup2_0_sel0_Tup3 => \c$ds_app_arg_1\
, Tup2_0_sel1_Tup10 => ( Tup10_sel0_unsigned_0 => ds4_0.Tup10_sel0_unsigned_0
, Tup10_sel1_unsigned_1 => ds4_0.Tup10_sel1_unsigned_1
, Tup10_sel2_unsigned_2 => ds4_0.Tup10_sel2_unsigned_2
, Tup10_sel3_unsigned_3 => ds4_0.Tup10_sel3_unsigned_3
, Tup10_sel4_unsigned_4 => ds4_0.Tup10_sel4_unsigned_4
, Tup10_sel5_unsigned_5 => ds4_0.Tup10_sel5_unsigned_5
, Tup10_sel6_unsigned_6 => ds4_0.Tup10_sel6_unsigned_6
, Tup10_sel7_unsigned_7 => ds4_0.Tup10_sel7_unsigned_7
, Tup10_sel8_unsigned_8 => ds4_0.Tup10_sel8_unsigned_8
, Tup10_sel9_unsigned_9 => ds4_0.Tup10_sel9_unsigned_9 ) );
opcode_0 <= \c$ds_app_arg_1\.Tup3_sel0_unsigned;
ds4_selection_res_0 <= ((opcode_0 = to_unsigned(0,1)) and (dry or dry)) or ((opcode_0 = to_unsigned(1,1)) and dry);
ds4_0 <= \c$ds_app_arg_1\.Tup3_sel1_Tup10_0 when ds4_selection_res_0 else
\c$ds_app_arg_1\.Tup3_sel2_Tup10_1;
-- register begin
cds_app_arg_2_register : process(clk,rst)
begin
if rst = '1' then
\c$ds_app_arg_2\ <= ( Tup3_sel0_unsigned => to_unsigned(1,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(0,5)
, Tup10_sel5_unsigned_5 => to_unsigned(0,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(0,5)
, Tup10_sel3_unsigned_3 => to_unsigned(0,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) ) );
elsif rising_edge(clk) then
if en then
\c$ds_app_arg_2\ <= result_6.Tup2_0_sel0_Tup3;
end if;
end if;
end process;
-- register end
result_5 <= result_6.Tup2_0_sel1_Tup10;
result_6 <= ( Tup2_0_sel0_Tup3 => \c$ds_app_arg_2\
, Tup2_0_sel1_Tup10 => ( Tup10_sel0_unsigned_0 => ds4_1.Tup10_sel0_unsigned_0
, Tup10_sel1_unsigned_1 => ds4_1.Tup10_sel1_unsigned_1
, Tup10_sel2_unsigned_2 => ds4_1.Tup10_sel2_unsigned_2
, Tup10_sel3_unsigned_3 => ds4_1.Tup10_sel3_unsigned_3
, Tup10_sel4_unsigned_4 => ds4_1.Tup10_sel4_unsigned_4
, Tup10_sel5_unsigned_5 => ds4_1.Tup10_sel5_unsigned_5
, Tup10_sel6_unsigned_6 => ds4_1.Tup10_sel6_unsigned_6
, Tup10_sel7_unsigned_7 => ds4_1.Tup10_sel7_unsigned_7
, Tup10_sel8_unsigned_8 => ds4_1.Tup10_sel8_unsigned_8
, Tup10_sel9_unsigned_9 => ds4_1.Tup10_sel9_unsigned_9 ) );
opcode_1 <= \c$ds_app_arg_2\.Tup3_sel0_unsigned;
ds4_selection_res_1 <= ((opcode_1 = to_unsigned(0,1)) and (start or start)) or ((opcode_1 = to_unsigned(1,1)) and start);
ds4_1 <= \c$ds_app_arg_2\.Tup3_sel1_Tup10_0 when ds4_selection_res_1 else
\c$ds_app_arg_2\.Tup3_sel2_Tup10_1;
-- register begin
cds_app_arg_3_register : process(clk,rst)
begin
if rst = '1' then
\c$ds_app_arg_3\ <= Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2));
elsif rising_edge(clk) then
if en then
\c$ds_app_arg_3\ <= result_8.Tup2_1_sel0_array_of_signed_2;
end if;
end if;
end process;
-- register end
result_7 <= result_8.Tup2_1_sel1_boolean;
\c$case_alt_selection_res_4\ <= (to_unsigned(0,5) <= tt2_0) and (to_unsigned(0,5) >= tt1_0);
\c$case_alt_0\ <= to_signed(1,2) when \c$case_alt_selection_res_4\ else
\c$case_alt_1\;
\c$case_alt_selection_res_5\ <= (to_unsigned(0,5) <= ff2_0) and (to_unsigned(0,5) >= ff1_0);
\c$case_alt_1\ <= to_signed(-1,2) when \c$case_alt_selection_res_5\ else
\c$case_alt_2\;
\c$case_alt_selection_res_6\ <= (to_unsigned(0,5) <= mm2_0) and (to_unsigned(0,5) >= mm1_0);
\c$case_alt_2\ <= to_signed(0,2) when \c$case_alt_selection_res_6\ else
\c$case_alt_3\;
\c$case_alt_selection_res_7\ <= (to_unsigned(0,5) <= tm2_0) and ((to_unsigned(0,5) >= tm1_0) and \c$app_arg_0\);
\c$case_alt_3\ <= to_signed(1,2) when \c$case_alt_selection_res_7\ else
\c$case_alt_4\;
\c$case_alt_selection_res_8\ <= (to_unsigned(0,5) <= fm2_0) and ((to_unsigned(0,5) >= fm1_0) and \c$app_arg_0\);
\c$vec_1\ <= (Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2))) & Prop_combined_topEntity_types.array_of_signed_2'(\c$ds_app_arg_3\)));
\c$case_alt_sel_alt_19\ <= (\c$vec_1\(0 to 1-1),\c$vec_1\(1 to \c$vec_1\'high));
\c$case_alt_4\ <= to_signed(-1,2) when \c$case_alt_selection_res_8\ else
\c$case_alt_sel_alt_19\.Tup2_5_sel0_array_of_signed_2_0(0);
fm1_0 <= result_9.Tup10_sel8_unsigned_8;
fm2_0 <= result_9.Tup10_sel9_unsigned_9;
\c$vec_2\ <= (Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2))) & Prop_combined_topEntity_types.array_of_signed_2'(\c$ds_app_arg_3\)));
\c$app_arg_0_2\ <= (\c$vec_2\(0 to 1-1),\c$vec_2\(1 to \c$vec_2\'high));
\c$app_arg_0\ <= \c$app_arg_0_2\.Tup2_5_sel0_array_of_signed_2_0(0) = to_signed(0,2);
tm1_0 <= result_9.Tup10_sel6_unsigned_6;
tm2_0 <= result_9.Tup10_sel7_unsigned_7;
mm1_0 <= result_9.Tup10_sel4_unsigned_4;
mm2_0 <= result_9.Tup10_sel5_unsigned_5;
ff1_0 <= result_9.Tup10_sel2_unsigned_2;
ff2_0 <= result_9.Tup10_sel3_unsigned_3;
tt1_0 <= result_9.Tup10_sel0_unsigned_0;
tt2_0 <= result_9.Tup10_sel1_unsigned_1;
-- index begin
indexVec_0 : block
signal vec_index_0 : integer range 0 to 1-1;
begin
vec_index_0 <= to_integer(to_signed(0,64))
-- pragma translate_off
mod 1
-- pragma translate_on
;
\c$app_arg_1\ <= \c$ds_app_arg_3\(vec_index_0);
end block;
-- index end
result_8 <= ( Tup2_1_sel0_array_of_signed_2 => Prop_combined_topEntity_types.array_of_signed_2'(0 => \c$case_alt_0\)
, Tup2_1_sel1_boolean => \c$app_arg_1\ = to_signed(1,2) );
-- register begin
cds_app_arg_4_register : process(clk,rst)
begin
if rst = '1' then
\c$ds_app_arg_4\ <= ( Tup3_sel0_unsigned => to_unsigned(0,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(0,5)
, Tup10_sel1_unsigned_1 => to_unsigned(0,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(0,5)
, Tup10_sel3_unsigned_3 => to_unsigned(0,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) ) );
elsif rising_edge(clk) then
if en then
\c$ds_app_arg_4\ <= result_10.Tup2_0_sel0_Tup3;
end if;
end if;
end process;
-- register end
result_9 <= result_10.Tup2_0_sel1_Tup10;
result_10 <= ( Tup2_0_sel0_Tup3 => \c$ds_app_arg_4\
, Tup2_0_sel1_Tup10 => ( Tup10_sel0_unsigned_0 => ds4_2.Tup10_sel0_unsigned_0
, Tup10_sel1_unsigned_1 => ds4_2.Tup10_sel1_unsigned_1
, Tup10_sel2_unsigned_2 => ds4_2.Tup10_sel2_unsigned_2
, Tup10_sel3_unsigned_3 => ds4_2.Tup10_sel3_unsigned_3
, Tup10_sel4_unsigned_4 => ds4_2.Tup10_sel4_unsigned_4
, Tup10_sel5_unsigned_5 => ds4_2.Tup10_sel5_unsigned_5
, Tup10_sel6_unsigned_6 => ds4_2.Tup10_sel6_unsigned_6
, Tup10_sel7_unsigned_7 => ds4_2.Tup10_sel7_unsigned_7
, Tup10_sel8_unsigned_8 => ds4_2.Tup10_sel8_unsigned_8
, Tup10_sel9_unsigned_9 => ds4_2.Tup10_sel9_unsigned_9 ) );
opcode_2 <= \c$ds_app_arg_4\.Tup3_sel0_unsigned;
ds4_selection_res_2 <= ((opcode_2 = to_unsigned(0,1)) and (result_15 or result_11)) or ((opcode_2 = to_unsigned(1,1)) and result_15);
ds4_2 <= \c$ds_app_arg_4\.Tup3_sel1_Tup10_0 when ds4_selection_res_2 else
\c$ds_app_arg_4\.Tup3_sel2_Tup10_1;
-- register begin
cds_app_arg_5_register : process(clk,rst)
begin
if rst = '1' then
\c$ds_app_arg_5\ <= Prop_combined_topEntity_types.array_of_signed_2'( to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2) );
elsif rising_edge(clk) then
if en then
\c$ds_app_arg_5\ <= result_12.Tup2_2_sel0_array_of_signed_2;
end if;
end if;
end process;
-- register end
result_11 <= result_12.Tup2_2_sel1_boolean;
\c$vec_3\ <= (Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2))) & Prop_combined_topEntity_types.array_of_signed_2'(\c$ds_app_arg_5\)));
\c$app_arg_2_4\ <= (\c$vec_3\(0 to 7-1),\c$vec_3\(7 to \c$vec_3\'high));
\c$vec_4\ <= \c$app_arg_2_4\.Tup2_6_sel0_array_of_signed_2_0;
-- imap begin
imap_1 : block
function max_0 (l,r : in natural) return natural is
begin
if l > r then return l;
else return r;
end if;
end function;
begin
imap_2 : for i_0 in \c$app_arg_2\'range generate
begin
fun_5 : block
signal \c$app_arg_28\ : signed(63 downto 0);
signal \c$case_alt_51\ : signed(1 downto 0);
signal \c$case_alt_52\ : signed(1 downto 0);
signal \c$case_alt_53\ : signed(1 downto 0);
signal \c$case_alt_54\ : signed(1 downto 0);
signal \c$case_alt_55\ : signed(1 downto 0);
-- Queue.hs:20:1-12
signal fm1_12 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal fm2_12 : unsigned(4 downto 0);
signal \c$app_arg_29\ : boolean;
-- Queue.hs:20:1-12
signal tm1_12 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tm2_12 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal mm1_12 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal mm2_12 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal ff1_12 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal ff2_12 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tt1_12 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tt2_12 : unsigned(4 downto 0);
signal \c$case_alt_selection_res_9\ : boolean;
signal \c$case_alt_selection_res_10\ : boolean;
signal \c$case_alt_selection_res_11\ : boolean;
signal \c$case_alt_selection_res_12\ : boolean;
signal \c$case_alt_selection_res_13\ : boolean;
begin
\c$app_arg_2\(i_0) <= \c$case_alt_51\;
\c$app_arg_28\ <= signed(std_logic_vector(resize(to_unsigned(i_0,max_0(1,integer(ceil(log2(real(7)))))),64)));
\c$case_alt_selection_res_9\ <= ((resize(unsigned(std_logic_vector(\c$app_arg_28\)),5)) <= tt2_12) and ((resize(unsigned(std_logic_vector(\c$app_arg_28\)),5)) >= tt1_12);
\c$case_alt_51\ <= to_signed(1,2) when \c$case_alt_selection_res_9\ else
\c$case_alt_52\;
\c$case_alt_selection_res_10\ <= ((resize(unsigned(std_logic_vector(\c$app_arg_28\)),5)) <= ff2_12) and ((resize(unsigned(std_logic_vector(\c$app_arg_28\)),5)) >= ff1_12);
\c$case_alt_52\ <= to_signed(-1,2) when \c$case_alt_selection_res_10\ else
\c$case_alt_53\;
\c$case_alt_selection_res_11\ <= ((resize(unsigned(std_logic_vector(\c$app_arg_28\)),5)) <= mm2_12) and ((resize(unsigned(std_logic_vector(\c$app_arg_28\)),5)) >= mm1_12);
\c$case_alt_53\ <= to_signed(0,2) when \c$case_alt_selection_res_11\ else
\c$case_alt_54\;
\c$case_alt_selection_res_12\ <= ((resize(unsigned(std_logic_vector(\c$app_arg_28\)),5)) <= tm2_12) and (((resize(unsigned(std_logic_vector(\c$app_arg_28\)),5)) >= tm1_12) and \c$app_arg_29\);
\c$case_alt_54\ <= to_signed(1,2) when \c$case_alt_selection_res_12\ else
\c$case_alt_55\;
\c$case_alt_selection_res_13\ <= ((resize(unsigned(std_logic_vector(\c$app_arg_28\)),5)) <= fm2_12) and (((resize(unsigned(std_logic_vector(\c$app_arg_28\)),5)) >= fm1_12) and \c$app_arg_29\);
\c$case_alt_55\ <= to_signed(-1,2) when \c$case_alt_selection_res_13\ else
\c$vec_4\(i_0);
fm1_12 <= result_13.Tup10_sel8_unsigned_8;
fm2_12 <= result_13.Tup10_sel9_unsigned_9;
\c$app_arg_29\ <= \c$vec_4\(i_0) = to_signed(0,2);
tm1_12 <= result_13.Tup10_sel6_unsigned_6;
tm2_12 <= result_13.Tup10_sel7_unsigned_7;
mm1_12 <= result_13.Tup10_sel4_unsigned_4;
mm2_12 <= result_13.Tup10_sel5_unsigned_5;
ff1_12 <= result_13.Tup10_sel2_unsigned_2;
ff2_12 <= result_13.Tup10_sel3_unsigned_3;
tt1_12 <= result_13.Tup10_sel0_unsigned_0;
tt2_12 <= result_13.Tup10_sel1_unsigned_1;
end block;
end generate;
end block;
-- imap end
-- index begin
indexVec_1 : block
signal vec_index_1 : integer range 0 to 7-1;
begin
vec_index_1 <= to_integer(to_signed(6,64))
-- pragma translate_off
mod 7
-- pragma translate_on
;
\c$app_arg_3\ <= \c$ds_app_arg_5\(vec_index_1);
end block;
-- index end
result_12 <= ( Tup2_2_sel0_array_of_signed_2 => \c$app_arg_2\
, Tup2_2_sel1_boolean => \c$app_arg_3\ = to_signed(1,2) );
-- register begin
cds_app_arg_6_register : process(clk,rst)
begin
if rst = '1' then
\c$ds_app_arg_6\ <= ( Tup3_sel0_unsigned => to_unsigned(1,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(4,5)
, Tup10_sel1_unsigned_1 => to_unsigned(6,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(0,5)
, Tup10_sel5_unsigned_5 => to_unsigned(0,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(0,5)
, Tup10_sel5_unsigned_5 => to_unsigned(0,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(6,5)
, Tup10_sel9_unsigned_9 => to_unsigned(6,5) ) );
elsif rising_edge(clk) then
if en then
\c$ds_app_arg_6\ <= result_14.Tup2_0_sel0_Tup3;
end if;
end if;
end process;
-- register end
result_13 <= result_14.Tup2_0_sel1_Tup10;
result_14 <= ( Tup2_0_sel0_Tup3 => \c$ds_app_arg_6\
, Tup2_0_sel1_Tup10 => ( Tup10_sel0_unsigned_0 => ds4_3.Tup10_sel0_unsigned_0
, Tup10_sel1_unsigned_1 => ds4_3.Tup10_sel1_unsigned_1
, Tup10_sel2_unsigned_2 => ds4_3.Tup10_sel2_unsigned_2
, Tup10_sel3_unsigned_3 => ds4_3.Tup10_sel3_unsigned_3
, Tup10_sel4_unsigned_4 => ds4_3.Tup10_sel4_unsigned_4
, Tup10_sel5_unsigned_5 => ds4_3.Tup10_sel5_unsigned_5
, Tup10_sel6_unsigned_6 => ds4_3.Tup10_sel6_unsigned_6
, Tup10_sel7_unsigned_7 => ds4_3.Tup10_sel7_unsigned_7
, Tup10_sel8_unsigned_8 => ds4_3.Tup10_sel8_unsigned_8
, Tup10_sel9_unsigned_9 => ds4_3.Tup10_sel9_unsigned_9 ) );
opcode_3 <= \c$ds_app_arg_6\.Tup3_sel0_unsigned;
ds4_selection_res_3 <= ((opcode_3 = to_unsigned(0,1)) and (soak or soak)) or ((opcode_3 = to_unsigned(1,1)) and soak);
ds4_3 <= \c$ds_app_arg_6\.Tup3_sel1_Tup10_0 when ds4_selection_res_3 else
\c$ds_app_arg_6\.Tup3_sel2_Tup10_1;
-- register begin
cds_app_arg_7_register : process(clk,rst)
begin
if rst = '1' then
\c$ds_app_arg_7\ <= Prop_combined_topEntity_types.array_of_signed_2'( to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2) );
elsif rising_edge(clk) then
if en then
\c$ds_app_arg_7\ <= result_16.Tup2_2_sel0_array_of_signed_2;
end if;
end if;
end process;
-- register end
result_15 <= result_16.Tup2_2_sel1_boolean;
\c$vec_5\ <= (Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2))) & Prop_combined_topEntity_types.array_of_signed_2'(\c$ds_app_arg_7\)));
\c$app_arg_4_6\ <= (\c$vec_5\(0 to 7-1),\c$vec_5\(7 to \c$vec_5\'high));
\c$vec_6\ <= \c$app_arg_4_6\.Tup2_6_sel0_array_of_signed_2_0;
-- imap begin
imap_3 : block
function max_1 (l,r : in natural) return natural is
begin
if l > r then return l;
else return r;
end if;
end function;
begin
imap_4 : for i_1 in \c$app_arg_4\'range generate
begin
fun_6 : block
signal \c$app_arg_30\ : signed(63 downto 0);
signal \c$case_alt_56\ : signed(1 downto 0);
signal \c$case_alt_57\ : signed(1 downto 0);
signal \c$case_alt_58\ : signed(1 downto 0);
signal \c$case_alt_59\ : signed(1 downto 0);
signal \c$case_alt_60\ : signed(1 downto 0);
-- Queue.hs:20:1-12
signal fm1_13 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal fm2_13 : unsigned(4 downto 0);
signal \c$app_arg_31\ : boolean;
-- Queue.hs:20:1-12
signal tm1_13 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tm2_13 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal mm1_13 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal mm2_13 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal ff1_13 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal ff2_13 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tt1_13 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tt2_13 : unsigned(4 downto 0);
signal \c$case_alt_selection_res_14\ : boolean;
signal \c$case_alt_selection_res_15\ : boolean;
signal \c$case_alt_selection_res_16\ : boolean;
signal \c$case_alt_selection_res_17\ : boolean;
signal \c$case_alt_selection_res_18\ : boolean;
begin
\c$app_arg_4\(i_1) <= \c$case_alt_56\;
\c$app_arg_30\ <= signed(std_logic_vector(resize(to_unsigned(i_1,max_1(1,integer(ceil(log2(real(7)))))),64)));
\c$case_alt_selection_res_14\ <= ((resize(unsigned(std_logic_vector(\c$app_arg_30\)),5)) <= tt2_13) and ((resize(unsigned(std_logic_vector(\c$app_arg_30\)),5)) >= tt1_13);
\c$case_alt_56\ <= to_signed(1,2) when \c$case_alt_selection_res_14\ else
\c$case_alt_57\;
\c$case_alt_selection_res_15\ <= ((resize(unsigned(std_logic_vector(\c$app_arg_30\)),5)) <= ff2_13) and ((resize(unsigned(std_logic_vector(\c$app_arg_30\)),5)) >= ff1_13);
\c$case_alt_57\ <= to_signed(-1,2) when \c$case_alt_selection_res_15\ else
\c$case_alt_58\;
\c$case_alt_selection_res_16\ <= ((resize(unsigned(std_logic_vector(\c$app_arg_30\)),5)) <= mm2_13) and ((resize(unsigned(std_logic_vector(\c$app_arg_30\)),5)) >= mm1_13);
\c$case_alt_58\ <= to_signed(0,2) when \c$case_alt_selection_res_16\ else
\c$case_alt_59\;
\c$case_alt_selection_res_17\ <= ((resize(unsigned(std_logic_vector(\c$app_arg_30\)),5)) <= tm2_13) and (((resize(unsigned(std_logic_vector(\c$app_arg_30\)),5)) >= tm1_13) and \c$app_arg_31\);
\c$case_alt_59\ <= to_signed(1,2) when \c$case_alt_selection_res_17\ else
\c$case_alt_60\;
\c$case_alt_selection_res_18\ <= ((resize(unsigned(std_logic_vector(\c$app_arg_30\)),5)) <= fm2_13) and (((resize(unsigned(std_logic_vector(\c$app_arg_30\)),5)) >= fm1_13) and \c$app_arg_31\);
\c$case_alt_60\ <= to_signed(-1,2) when \c$case_alt_selection_res_18\ else
\c$vec_6\(i_1);
fm1_13 <= result_17.Tup10_sel8_unsigned_8;
fm2_13 <= result_17.Tup10_sel9_unsigned_9;
\c$app_arg_31\ <= \c$vec_6\(i_1) = to_signed(0,2);
tm1_13 <= result_17.Tup10_sel6_unsigned_6;
tm2_13 <= result_17.Tup10_sel7_unsigned_7;
mm1_13 <= result_17.Tup10_sel4_unsigned_4;
mm2_13 <= result_17.Tup10_sel5_unsigned_5;
ff1_13 <= result_17.Tup10_sel2_unsigned_2;
ff2_13 <= result_17.Tup10_sel3_unsigned_3;
tt1_13 <= result_17.Tup10_sel0_unsigned_0;
tt2_13 <= result_17.Tup10_sel1_unsigned_1;
end block;
end generate;
end block;
-- imap end
-- index begin
indexVec_2 : block
signal vec_index_2 : integer range 0 to 7-1;
begin
vec_index_2 <= to_integer(to_signed(6,64))
-- pragma translate_off
mod 7
-- pragma translate_on
;
\c$app_arg_5\ <= \c$ds_app_arg_7\(vec_index_2);
end block;
-- index end
result_16 <= ( Tup2_2_sel0_array_of_signed_2 => \c$app_arg_4\
, Tup2_2_sel1_boolean => \c$app_arg_5\ = to_signed(1,2) );
-- register begin
cds_app_arg_8_register : process(clk,rst)
begin
if rst = '1' then
\c$ds_app_arg_8\ <= ( Tup3_sel0_unsigned => to_unsigned(1,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(0,5)
, Tup10_sel3_unsigned_3 => to_unsigned(0,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(0,5)
, Tup10_sel1_unsigned_1 => to_unsigned(0,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) ) );
elsif rising_edge(clk) then
if en then
\c$ds_app_arg_8\ <= result_18.Tup2_0_sel0_Tup3;
end if;
end if;
end process;
-- register end
result_17 <= result_18.Tup2_0_sel1_Tup10;
result_18 <= ( Tup2_0_sel0_Tup3 => \c$ds_app_arg_8\
, Tup2_0_sel1_Tup10 => ( Tup10_sel0_unsigned_0 => ds4_4.Tup10_sel0_unsigned_0
, Tup10_sel1_unsigned_1 => ds4_4.Tup10_sel1_unsigned_1
, Tup10_sel2_unsigned_2 => ds4_4.Tup10_sel2_unsigned_2
, Tup10_sel3_unsigned_3 => ds4_4.Tup10_sel3_unsigned_3
, Tup10_sel4_unsigned_4 => ds4_4.Tup10_sel4_unsigned_4
, Tup10_sel5_unsigned_5 => ds4_4.Tup10_sel5_unsigned_5
, Tup10_sel6_unsigned_6 => ds4_4.Tup10_sel6_unsigned_6
, Tup10_sel7_unsigned_7 => ds4_4.Tup10_sel7_unsigned_7
, Tup10_sel8_unsigned_8 => ds4_4.Tup10_sel8_unsigned_8
, Tup10_sel9_unsigned_9 => ds4_4.Tup10_sel9_unsigned_9 ) );
opcode_4 <= \c$ds_app_arg_8\.Tup3_sel0_unsigned;
ds4_selection_res_4 <= ((opcode_4 = to_unsigned(0,1)) and (start or start)) or ((opcode_4 = to_unsigned(1,1)) and start);
ds4_4 <= \c$ds_app_arg_8\.Tup3_sel1_Tup10_0 when ds4_selection_res_4 else
\c$ds_app_arg_8\.Tup3_sel2_Tup10_1;
-- register begin
cds_app_arg_9_register : process(clk,rst)
begin
if rst = '1' then
\c$ds_app_arg_9\ <= Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2));
elsif rising_edge(clk) then
if en then
\c$ds_app_arg_9\ <= result_20.Tup2_1_sel0_array_of_signed_2;
end if;
end if;
end process;
-- register end
result_19 <= result_20.Tup2_1_sel1_boolean;
\c$case_alt_selection_res_19\ <= (to_unsigned(0,5) <= tt2_1) and (to_unsigned(0,5) >= tt1_1);
\c$case_alt_5\ <= to_signed(1,2) when \c$case_alt_selection_res_19\ else
\c$case_alt_6\;
\c$case_alt_selection_res_20\ <= (to_unsigned(0,5) <= ff2_1) and (to_unsigned(0,5) >= ff1_1);
\c$case_alt_6\ <= to_signed(-1,2) when \c$case_alt_selection_res_20\ else
\c$case_alt_7\;
\c$case_alt_selection_res_21\ <= (to_unsigned(0,5) <= mm2_1) and (to_unsigned(0,5) >= mm1_1);
\c$case_alt_7\ <= to_signed(0,2) when \c$case_alt_selection_res_21\ else
\c$case_alt_8\;
\c$case_alt_selection_res_22\ <= (to_unsigned(0,5) <= tm2_1) and ((to_unsigned(0,5) >= tm1_1) and \c$app_arg_6\);
\c$case_alt_8\ <= to_signed(1,2) when \c$case_alt_selection_res_22\ else
\c$case_alt_9\;
\c$case_alt_selection_res_23\ <= (to_unsigned(0,5) <= fm2_1) and ((to_unsigned(0,5) >= fm1_1) and \c$app_arg_6\);
\c$vec_7\ <= (Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2))) & Prop_combined_topEntity_types.array_of_signed_2'(\c$ds_app_arg_9\)));
\c$case_alt_sel_alt_51\ <= (\c$vec_7\(0 to 1-1),\c$vec_7\(1 to \c$vec_7\'high));
\c$case_alt_9\ <= to_signed(-1,2) when \c$case_alt_selection_res_23\ else
\c$case_alt_sel_alt_51\.Tup2_5_sel0_array_of_signed_2_0(0);
fm1_1 <= result_21.Tup10_sel8_unsigned_8;
fm2_1 <= result_21.Tup10_sel9_unsigned_9;
\c$vec_8\ <= (Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2))) & Prop_combined_topEntity_types.array_of_signed_2'(\c$ds_app_arg_9\)));
\c$app_arg_6_9\ <= (\c$vec_8\(0 to 1-1),\c$vec_8\(1 to \c$vec_8\'high));
\c$app_arg_6\ <= \c$app_arg_6_9\.Tup2_5_sel0_array_of_signed_2_0(0) = to_signed(0,2);
tm1_1 <= result_21.Tup10_sel6_unsigned_6;
tm2_1 <= result_21.Tup10_sel7_unsigned_7;
mm1_1 <= result_21.Tup10_sel4_unsigned_4;
mm2_1 <= result_21.Tup10_sel5_unsigned_5;
ff1_1 <= result_21.Tup10_sel2_unsigned_2;
ff2_1 <= result_21.Tup10_sel3_unsigned_3;
tt1_1 <= result_21.Tup10_sel0_unsigned_0;
tt2_1 <= result_21.Tup10_sel1_unsigned_1;
-- index begin
indexVec_3 : block
signal vec_index_3 : integer range 0 to 1-1;
begin
vec_index_3 <= to_integer(to_signed(0,64))
-- pragma translate_off
mod 1
-- pragma translate_on
;
\c$app_arg_7\ <= \c$ds_app_arg_9\(vec_index_3);
end block;
-- index end
result_20 <= ( Tup2_1_sel0_array_of_signed_2 => Prop_combined_topEntity_types.array_of_signed_2'(0 => \c$case_alt_5\)
, Tup2_1_sel1_boolean => \c$app_arg_7\ = to_signed(1,2) );
-- register begin
cds_app_arg_10_register : process(clk,rst)
begin
if rst = '1' then
\c$ds_app_arg_10\ <= ( Tup3_sel0_unsigned => to_unsigned(0,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(0,5)
, Tup10_sel1_unsigned_1 => to_unsigned(0,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(0,5)
, Tup10_sel3_unsigned_3 => to_unsigned(0,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) ) );
elsif rising_edge(clk) then
if en then
\c$ds_app_arg_10\ <= result_22.Tup2_0_sel0_Tup3;
end if;
end if;
end process;
-- register end
result_21 <= result_22.Tup2_0_sel1_Tup10;
result_22 <= ( Tup2_0_sel0_Tup3 => \c$ds_app_arg_10\
, Tup2_0_sel1_Tup10 => ( Tup10_sel0_unsigned_0 => ds4_5.Tup10_sel0_unsigned_0
, Tup10_sel1_unsigned_1 => ds4_5.Tup10_sel1_unsigned_1
, Tup10_sel2_unsigned_2 => ds4_5.Tup10_sel2_unsigned_2
, Tup10_sel3_unsigned_3 => ds4_5.Tup10_sel3_unsigned_3
, Tup10_sel4_unsigned_4 => ds4_5.Tup10_sel4_unsigned_4
, Tup10_sel5_unsigned_5 => ds4_5.Tup10_sel5_unsigned_5
, Tup10_sel6_unsigned_6 => ds4_5.Tup10_sel6_unsigned_6
, Tup10_sel7_unsigned_7 => ds4_5.Tup10_sel7_unsigned_7
, Tup10_sel8_unsigned_8 => ds4_5.Tup10_sel8_unsigned_8
, Tup10_sel9_unsigned_9 => ds4_5.Tup10_sel9_unsigned_9 ) );
opcode_5 <= \c$ds_app_arg_10\.Tup3_sel0_unsigned;
ds4_selection_res_5 <= ((opcode_5 = to_unsigned(0,1)) and (result_31 or result_23)) or ((opcode_5 = to_unsigned(1,1)) and result_31);
ds4_5 <= \c$ds_app_arg_10\.Tup3_sel1_Tup10_0 when ds4_selection_res_5 else
\c$ds_app_arg_10\.Tup3_sel2_Tup10_1;
-- register begin
cds_app_arg_11_register : process(clk,rst)
begin
if rst = '1' then
\c$ds_app_arg_11\ <= Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2));
elsif rising_edge(clk) then
if en then
\c$ds_app_arg_11\ <= result_24.Tup2_1_sel0_array_of_signed_2;
end if;
end if;
end process;
-- register end
result_23 <= result_24.Tup2_1_sel1_boolean;
\c$case_alt_selection_res_24\ <= (to_unsigned(0,5) <= tt2_2) and (to_unsigned(0,5) >= tt1_2);
\c$case_alt_10\ <= to_signed(1,2) when \c$case_alt_selection_res_24\ else
\c$case_alt_11\;
\c$case_alt_selection_res_25\ <= (to_unsigned(0,5) <= ff2_2) and (to_unsigned(0,5) >= ff1_2);
\c$case_alt_11\ <= to_signed(-1,2) when \c$case_alt_selection_res_25\ else
\c$case_alt_12\;
\c$case_alt_selection_res_26\ <= (to_unsigned(0,5) <= mm2_2) and (to_unsigned(0,5) >= mm1_2);
\c$case_alt_12\ <= to_signed(0,2) when \c$case_alt_selection_res_26\ else
\c$case_alt_13\;
\c$case_alt_selection_res_27\ <= (to_unsigned(0,5) <= tm2_2) and ((to_unsigned(0,5) >= tm1_2) and \c$app_arg_8\);
\c$case_alt_13\ <= to_signed(1,2) when \c$case_alt_selection_res_27\ else
\c$case_alt_14\;
\c$case_alt_selection_res_28\ <= (to_unsigned(0,5) <= fm2_2) and ((to_unsigned(0,5) >= fm1_2) and \c$app_arg_8\);
\c$vec_9\ <= (Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2))) & Prop_combined_topEntity_types.array_of_signed_2'(\c$ds_app_arg_11\)));
\c$case_alt_sel_alt_63\ <= (\c$vec_9\(0 to 1-1),\c$vec_9\(1 to \c$vec_9\'high));
\c$case_alt_14\ <= to_signed(-1,2) when \c$case_alt_selection_res_28\ else
\c$case_alt_sel_alt_63\.Tup2_5_sel0_array_of_signed_2_0(0);
fm1_2 <= result_25.Tup10_sel8_unsigned_8;
fm2_2 <= result_25.Tup10_sel9_unsigned_9;
\c$vec_10\ <= (Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2))) & Prop_combined_topEntity_types.array_of_signed_2'(\c$ds_app_arg_11\)));
\c$app_arg_8_12\ <= (\c$vec_10\(0 to 1-1),\c$vec_10\(1 to \c$vec_10\'high));
\c$app_arg_8\ <= \c$app_arg_8_12\.Tup2_5_sel0_array_of_signed_2_0(0) = to_signed(0,2);
tm1_2 <= result_25.Tup10_sel6_unsigned_6;
tm2_2 <= result_25.Tup10_sel7_unsigned_7;
mm1_2 <= result_25.Tup10_sel4_unsigned_4;
mm2_2 <= result_25.Tup10_sel5_unsigned_5;
ff1_2 <= result_25.Tup10_sel2_unsigned_2;
ff2_2 <= result_25.Tup10_sel3_unsigned_3;
tt1_2 <= result_25.Tup10_sel0_unsigned_0;
tt2_2 <= result_25.Tup10_sel1_unsigned_1;
-- index begin
indexVec_4 : block
signal vec_index_4 : integer range 0 to 1-1;
begin
vec_index_4 <= to_integer(to_signed(0,64))
-- pragma translate_off
mod 1
-- pragma translate_on
;
\c$app_arg_9\ <= \c$ds_app_arg_11\(vec_index_4);
end block;
-- index end
result_24 <= ( Tup2_1_sel0_array_of_signed_2 => Prop_combined_topEntity_types.array_of_signed_2'(0 => \c$case_alt_10\)
, Tup2_1_sel1_boolean => \c$app_arg_9\ = to_signed(1,2) );
-- register begin
cds_app_arg_12_register : process(clk,rst)
begin
if rst = '1' then
\c$ds_app_arg_12\ <= ( Tup3_sel0_unsigned => to_unsigned(1,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(1,5)
, Tup10_sel1_unsigned_1 => to_unsigned(1,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(0,5)
, Tup10_sel5_unsigned_5 => to_unsigned(0,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(1,5)
, Tup10_sel3_unsigned_3 => to_unsigned(1,5)
, Tup10_sel4_unsigned_4 => to_unsigned(0,5)
, Tup10_sel5_unsigned_5 => to_unsigned(0,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) ) );
elsif rising_edge(clk) then
if en then
\c$ds_app_arg_12\ <= result_26.Tup2_0_sel0_Tup3;
end if;
end if;
end process;
-- register end
result_25 <= result_26.Tup2_0_sel1_Tup10;
result_26 <= ( Tup2_0_sel0_Tup3 => \c$ds_app_arg_12\
, Tup2_0_sel1_Tup10 => ( Tup10_sel0_unsigned_0 => ds4_6.Tup10_sel0_unsigned_0
, Tup10_sel1_unsigned_1 => ds4_6.Tup10_sel1_unsigned_1
, Tup10_sel2_unsigned_2 => ds4_6.Tup10_sel2_unsigned_2
, Tup10_sel3_unsigned_3 => ds4_6.Tup10_sel3_unsigned_3
, Tup10_sel4_unsigned_4 => ds4_6.Tup10_sel4_unsigned_4
, Tup10_sel5_unsigned_5 => ds4_6.Tup10_sel5_unsigned_5
, Tup10_sel6_unsigned_6 => ds4_6.Tup10_sel6_unsigned_6
, Tup10_sel7_unsigned_7 => ds4_6.Tup10_sel7_unsigned_7
, Tup10_sel8_unsigned_8 => ds4_6.Tup10_sel8_unsigned_8
, Tup10_sel9_unsigned_9 => ds4_6.Tup10_sel9_unsigned_9 ) );
opcode_6 <= \c$ds_app_arg_12\.Tup3_sel0_unsigned;
ds4_selection_res_6 <= ((opcode_6 = to_unsigned(0,1)) and (result_27 or result_27)) or ((opcode_6 = to_unsigned(1,1)) and result_27);
ds4_6 <= \c$ds_app_arg_12\.Tup3_sel1_Tup10_0 when ds4_selection_res_6 else
\c$ds_app_arg_12\.Tup3_sel2_Tup10_1;
-- register begin
cds_app_arg_13_register : process(clk,rst)
begin
if rst = '1' then
\c$ds_app_arg_13\ <= Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2));
elsif rising_edge(clk) then
if en then
\c$ds_app_arg_13\ <= result_28.Tup2_1_sel0_array_of_signed_2;
end if;
end if;
end process;
-- register end
result_27 <= result_28.Tup2_1_sel1_boolean;
\c$case_alt_selection_res_29\ <= (to_unsigned(0,5) <= tt2_3) and (to_unsigned(0,5) >= tt1_3);
\c$case_alt_15\ <= to_signed(1,2) when \c$case_alt_selection_res_29\ else
\c$case_alt_16\;
\c$case_alt_selection_res_30\ <= (to_unsigned(0,5) <= ff2_3) and (to_unsigned(0,5) >= ff1_3);
\c$case_alt_16\ <= to_signed(-1,2) when \c$case_alt_selection_res_30\ else
\c$case_alt_17\;
\c$case_alt_selection_res_31\ <= (to_unsigned(0,5) <= mm2_3) and (to_unsigned(0,5) >= mm1_3);
\c$case_alt_17\ <= to_signed(0,2) when \c$case_alt_selection_res_31\ else
\c$case_alt_18\;
\c$case_alt_selection_res_32\ <= (to_unsigned(0,5) <= tm2_3) and ((to_unsigned(0,5) >= tm1_3) and \c$app_arg_10\);
\c$case_alt_18\ <= to_signed(1,2) when \c$case_alt_selection_res_32\ else
\c$case_alt_19\;
\c$case_alt_selection_res_33\ <= (to_unsigned(0,5) <= fm2_3) and ((to_unsigned(0,5) >= fm1_3) and \c$app_arg_10\);
\c$vec_11\ <= (Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2))) & Prop_combined_topEntity_types.array_of_signed_2'(\c$ds_app_arg_13\)));
\c$case_alt_sel_alt_75\ <= (\c$vec_11\(0 to 1-1),\c$vec_11\(1 to \c$vec_11\'high));
\c$case_alt_19\ <= to_signed(-1,2) when \c$case_alt_selection_res_33\ else
\c$case_alt_sel_alt_75\.Tup2_5_sel0_array_of_signed_2_0(0);
fm1_3 <= result_29.Tup10_sel8_unsigned_8;
fm2_3 <= result_29.Tup10_sel9_unsigned_9;
\c$vec_12\ <= (Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2))) & Prop_combined_topEntity_types.array_of_signed_2'(\c$ds_app_arg_13\)));
\c$app_arg_10_15\ <= (\c$vec_12\(0 to 1-1),\c$vec_12\(1 to \c$vec_12\'high));
\c$app_arg_10\ <= \c$app_arg_10_15\.Tup2_5_sel0_array_of_signed_2_0(0) = to_signed(0,2);
tm1_3 <= result_29.Tup10_sel6_unsigned_6;
tm2_3 <= result_29.Tup10_sel7_unsigned_7;
mm1_3 <= result_29.Tup10_sel4_unsigned_4;
mm2_3 <= result_29.Tup10_sel5_unsigned_5;
ff1_3 <= result_29.Tup10_sel2_unsigned_2;
ff2_3 <= result_29.Tup10_sel3_unsigned_3;
tt1_3 <= result_29.Tup10_sel0_unsigned_0;
tt2_3 <= result_29.Tup10_sel1_unsigned_1;
-- index begin
indexVec_5 : block
signal vec_index_5 : integer range 0 to 1-1;
begin
vec_index_5 <= to_integer(to_signed(0,64))
-- pragma translate_off
mod 1
-- pragma translate_on
;
\c$app_arg_11\ <= \c$ds_app_arg_13\(vec_index_5);
end block;
-- index end
result_28 <= ( Tup2_1_sel0_array_of_signed_2 => Prop_combined_topEntity_types.array_of_signed_2'(0 => \c$case_alt_15\)
, Tup2_1_sel1_boolean => \c$app_arg_11\ = to_signed(1,2) );
-- register begin
cds_app_arg_14_register : process(clk,rst)
begin
if rst = '1' then
\c$ds_app_arg_14\ <= ( Tup3_sel0_unsigned => to_unsigned(0,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(0,5)
, Tup10_sel1_unsigned_1 => to_unsigned(0,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(0,5)
, Tup10_sel3_unsigned_3 => to_unsigned(0,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) ) );
elsif rising_edge(clk) then
if en then
\c$ds_app_arg_14\ <= result_30.Tup2_0_sel0_Tup3;
end if;
end if;
end process;
-- register end
result_29 <= result_30.Tup2_0_sel1_Tup10;
result_30 <= ( Tup2_0_sel0_Tup3 => \c$ds_app_arg_14\
, Tup2_0_sel1_Tup10 => ( Tup10_sel0_unsigned_0 => ds4_7.Tup10_sel0_unsigned_0
, Tup10_sel1_unsigned_1 => ds4_7.Tup10_sel1_unsigned_1
, Tup10_sel2_unsigned_2 => ds4_7.Tup10_sel2_unsigned_2
, Tup10_sel3_unsigned_3 => ds4_7.Tup10_sel3_unsigned_3
, Tup10_sel4_unsigned_4 => ds4_7.Tup10_sel4_unsigned_4
, Tup10_sel5_unsigned_5 => ds4_7.Tup10_sel5_unsigned_5
, Tup10_sel6_unsigned_6 => ds4_7.Tup10_sel6_unsigned_6
, Tup10_sel7_unsigned_7 => ds4_7.Tup10_sel7_unsigned_7
, Tup10_sel8_unsigned_8 => ds4_7.Tup10_sel8_unsigned_8
, Tup10_sel9_unsigned_9 => ds4_7.Tup10_sel9_unsigned_9 ) );
opcode_7 <= \c$ds_app_arg_14\.Tup3_sel0_unsigned;
ds4_selection_res_7 <= ((opcode_7 = to_unsigned(0,1)) and (fill or soak)) or ((opcode_7 = to_unsigned(1,1)) and fill);
ds4_7 <= \c$ds_app_arg_14\.Tup3_sel1_Tup10_0 when ds4_selection_res_7 else
\c$ds_app_arg_14\.Tup3_sel2_Tup10_1;
-- register begin
cds_app_arg_15_register : process(clk,rst)
begin
if rst = '1' then
\c$ds_app_arg_15\ <= Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2));
elsif rising_edge(clk) then
if en then
\c$ds_app_arg_15\ <= result_32.Tup2_1_sel0_array_of_signed_2;
end if;
end if;
end process;
-- register end
result_31 <= result_32.Tup2_1_sel1_boolean;
\c$case_alt_selection_res_34\ <= (to_unsigned(0,5) <= tt2_4) and (to_unsigned(0,5) >= tt1_4);
\c$case_alt_20\ <= to_signed(1,2) when \c$case_alt_selection_res_34\ else
\c$case_alt_21\;
\c$case_alt_selection_res_35\ <= (to_unsigned(0,5) <= ff2_4) and (to_unsigned(0,5) >= ff1_4);
\c$case_alt_21\ <= to_signed(-1,2) when \c$case_alt_selection_res_35\ else
\c$case_alt_22\;
\c$case_alt_selection_res_36\ <= (to_unsigned(0,5) <= mm2_4) and (to_unsigned(0,5) >= mm1_4);
\c$case_alt_22\ <= to_signed(0,2) when \c$case_alt_selection_res_36\ else
\c$case_alt_23\;
\c$case_alt_selection_res_37\ <= (to_unsigned(0,5) <= tm2_4) and ((to_unsigned(0,5) >= tm1_4) and \c$app_arg_12\);
\c$case_alt_23\ <= to_signed(1,2) when \c$case_alt_selection_res_37\ else
\c$case_alt_24\;
\c$case_alt_selection_res_38\ <= (to_unsigned(0,5) <= fm2_4) and ((to_unsigned(0,5) >= fm1_4) and \c$app_arg_12\);
\c$vec_13\ <= (Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2))) & Prop_combined_topEntity_types.array_of_signed_2'(\c$ds_app_arg_15\)));
\c$case_alt_sel_alt_87\ <= (\c$vec_13\(0 to 1-1),\c$vec_13\(1 to \c$vec_13\'high));
\c$case_alt_24\ <= to_signed(-1,2) when \c$case_alt_selection_res_38\ else
\c$case_alt_sel_alt_87\.Tup2_5_sel0_array_of_signed_2_0(0);
fm1_4 <= result_33.Tup10_sel8_unsigned_8;
fm2_4 <= result_33.Tup10_sel9_unsigned_9;
\c$vec_14\ <= (Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2))) & Prop_combined_topEntity_types.array_of_signed_2'(\c$ds_app_arg_15\)));
\c$app_arg_12_18\ <= (\c$vec_14\(0 to 1-1),\c$vec_14\(1 to \c$vec_14\'high));
\c$app_arg_12\ <= \c$app_arg_12_18\.Tup2_5_sel0_array_of_signed_2_0(0) = to_signed(0,2);
tm1_4 <= result_33.Tup10_sel6_unsigned_6;
tm2_4 <= result_33.Tup10_sel7_unsigned_7;
mm1_4 <= result_33.Tup10_sel4_unsigned_4;
mm2_4 <= result_33.Tup10_sel5_unsigned_5;
ff1_4 <= result_33.Tup10_sel2_unsigned_2;
ff2_4 <= result_33.Tup10_sel3_unsigned_3;
tt1_4 <= result_33.Tup10_sel0_unsigned_0;
tt2_4 <= result_33.Tup10_sel1_unsigned_1;
-- index begin
indexVec_6 : block
signal vec_index_6 : integer range 0 to 1-1;
begin
vec_index_6 <= to_integer(to_signed(0,64))
-- pragma translate_off
mod 1
-- pragma translate_on
;
\c$app_arg_13\ <= \c$ds_app_arg_15\(vec_index_6);
end block;
-- index end
result_32 <= ( Tup2_1_sel0_array_of_signed_2 => Prop_combined_topEntity_types.array_of_signed_2'(0 => \c$case_alt_20\)
, Tup2_1_sel1_boolean => \c$app_arg_13\ = to_signed(1,2) );
-- register begin
cds_app_arg_16_register : process(clk,rst)
begin
if rst = '1' then
\c$ds_app_arg_16\ <= ( Tup3_sel0_unsigned => to_unsigned(1,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(0,5)
, Tup10_sel3_unsigned_3 => to_unsigned(0,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(0,5)
, Tup10_sel1_unsigned_1 => to_unsigned(0,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) ) );
elsif rising_edge(clk) then
if en then
\c$ds_app_arg_16\ <= result_34.Tup2_0_sel0_Tup3;
end if;
end if;
end process;
-- register end
result_33 <= result_34.Tup2_0_sel1_Tup10;
result_34 <= ( Tup2_0_sel0_Tup3 => \c$ds_app_arg_16\
, Tup2_0_sel1_Tup10 => ( Tup10_sel0_unsigned_0 => ds4_8.Tup10_sel0_unsigned_0
, Tup10_sel1_unsigned_1 => ds4_8.Tup10_sel1_unsigned_1
, Tup10_sel2_unsigned_2 => ds4_8.Tup10_sel2_unsigned_2
, Tup10_sel3_unsigned_3 => ds4_8.Tup10_sel3_unsigned_3
, Tup10_sel4_unsigned_4 => ds4_8.Tup10_sel4_unsigned_4
, Tup10_sel5_unsigned_5 => ds4_8.Tup10_sel5_unsigned_5
, Tup10_sel6_unsigned_6 => ds4_8.Tup10_sel6_unsigned_6
, Tup10_sel7_unsigned_7 => ds4_8.Tup10_sel7_unsigned_7
, Tup10_sel8_unsigned_8 => ds4_8.Tup10_sel8_unsigned_8
, Tup10_sel9_unsigned_9 => ds4_8.Tup10_sel9_unsigned_9 ) );
opcode_8 <= \c$ds_app_arg_16\.Tup3_sel0_unsigned;
ds4_selection_res_8 <= ((opcode_8 = to_unsigned(0,1)) and (fill or fill)) or ((opcode_8 = to_unsigned(1,1)) and fill);
ds4_8 <= \c$ds_app_arg_16\.Tup3_sel1_Tup10_0 when ds4_selection_res_8 else
\c$ds_app_arg_16\.Tup3_sel2_Tup10_1;
-- register begin
cds_app_arg_17_register : process(clk,rst)
begin
if rst = '1' then
\c$ds_app_arg_17\ <= Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2));
elsif rising_edge(clk) then
if en then
\c$ds_app_arg_17\ <= result_36.Tup2_1_sel0_array_of_signed_2;
end if;
end if;
end process;
-- register end
result_35 <= result_36.Tup2_1_sel1_boolean;
\c$case_alt_selection_res_39\ <= (to_unsigned(0,5) <= tt2_5) and (to_unsigned(0,5) >= tt1_5);
\c$case_alt_25\ <= to_signed(1,2) when \c$case_alt_selection_res_39\ else
\c$case_alt_26\;
\c$case_alt_selection_res_40\ <= (to_unsigned(0,5) <= ff2_5) and (to_unsigned(0,5) >= ff1_5);
\c$case_alt_26\ <= to_signed(-1,2) when \c$case_alt_selection_res_40\ else
\c$case_alt_27\;
\c$case_alt_selection_res_41\ <= (to_unsigned(0,5) <= mm2_5) and (to_unsigned(0,5) >= mm1_5);
\c$case_alt_27\ <= to_signed(0,2) when \c$case_alt_selection_res_41\ else
\c$case_alt_28\;
\c$case_alt_selection_res_42\ <= (to_unsigned(0,5) <= tm2_5) and ((to_unsigned(0,5) >= tm1_5) and \c$app_arg_14\);
\c$case_alt_28\ <= to_signed(1,2) when \c$case_alt_selection_res_42\ else
\c$case_alt_29\;
\c$case_alt_selection_res_43\ <= (to_unsigned(0,5) <= fm2_5) and ((to_unsigned(0,5) >= fm1_5) and \c$app_arg_14\);
\c$vec_15\ <= (Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2))) & Prop_combined_topEntity_types.array_of_signed_2'(\c$ds_app_arg_17\)));
\c$case_alt_sel_alt_99\ <= (\c$vec_15\(0 to 1-1),\c$vec_15\(1 to \c$vec_15\'high));
\c$case_alt_29\ <= to_signed(-1,2) when \c$case_alt_selection_res_43\ else
\c$case_alt_sel_alt_99\.Tup2_5_sel0_array_of_signed_2_0(0);
fm1_5 <= result_37.Tup10_sel8_unsigned_8;
fm2_5 <= result_37.Tup10_sel9_unsigned_9;
\c$vec_16\ <= (Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2))) & Prop_combined_topEntity_types.array_of_signed_2'(\c$ds_app_arg_17\)));
\c$app_arg_14_21\ <= (\c$vec_16\(0 to 1-1),\c$vec_16\(1 to \c$vec_16\'high));
\c$app_arg_14\ <= \c$app_arg_14_21\.Tup2_5_sel0_array_of_signed_2_0(0) = to_signed(0,2);
tm1_5 <= result_37.Tup10_sel6_unsigned_6;
tm2_5 <= result_37.Tup10_sel7_unsigned_7;
mm1_5 <= result_37.Tup10_sel4_unsigned_4;
mm2_5 <= result_37.Tup10_sel5_unsigned_5;
ff1_5 <= result_37.Tup10_sel2_unsigned_2;
ff2_5 <= result_37.Tup10_sel3_unsigned_3;
tt1_5 <= result_37.Tup10_sel0_unsigned_0;
tt2_5 <= result_37.Tup10_sel1_unsigned_1;
-- index begin
indexVec_7 : block
signal vec_index_7 : integer range 0 to 1-1;
begin
vec_index_7 <= to_integer(to_signed(0,64))
-- pragma translate_off
mod 1
-- pragma translate_on
;
\c$app_arg_15\ <= \c$ds_app_arg_17\(vec_index_7);
end block;
-- index end
result_36 <= ( Tup2_1_sel0_array_of_signed_2 => Prop_combined_topEntity_types.array_of_signed_2'(0 => \c$case_alt_25\)
, Tup2_1_sel1_boolean => \c$app_arg_15\ = to_signed(1,2) );
-- register begin
cds_app_arg_18_register : process(clk,rst)
begin
if rst = '1' then
\c$ds_app_arg_18\ <= ( Tup3_sel0_unsigned => to_unsigned(0,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(0,5)
, Tup10_sel1_unsigned_1 => to_unsigned(0,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(0,5)
, Tup10_sel3_unsigned_3 => to_unsigned(0,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) ) );
elsif rising_edge(clk) then
if en then
\c$ds_app_arg_18\ <= result_38.Tup2_0_sel0_Tup3;
end if;
end if;
end process;
-- register end
result_37 <= result_38.Tup2_0_sel1_Tup10;
result_38 <= ( Tup2_0_sel0_Tup3 => \c$ds_app_arg_18\
, Tup2_0_sel1_Tup10 => ( Tup10_sel0_unsigned_0 => ds4_9.Tup10_sel0_unsigned_0
, Tup10_sel1_unsigned_1 => ds4_9.Tup10_sel1_unsigned_1
, Tup10_sel2_unsigned_2 => ds4_9.Tup10_sel2_unsigned_2
, Tup10_sel3_unsigned_3 => ds4_9.Tup10_sel3_unsigned_3
, Tup10_sel4_unsigned_4 => ds4_9.Tup10_sel4_unsigned_4
, Tup10_sel5_unsigned_5 => ds4_9.Tup10_sel5_unsigned_5
, Tup10_sel6_unsigned_6 => ds4_9.Tup10_sel6_unsigned_6
, Tup10_sel7_unsigned_7 => ds4_9.Tup10_sel7_unsigned_7
, Tup10_sel8_unsigned_8 => ds4_9.Tup10_sel8_unsigned_8
, Tup10_sel9_unsigned_9 => ds4_9.Tup10_sel9_unsigned_9 ) );
opcode_9 <= \c$ds_app_arg_18\.Tup3_sel0_unsigned;
ds4_selection_res_9 <= ((opcode_9 = to_unsigned(0,1)) and (result_43 or result_39)) or ((opcode_9 = to_unsigned(1,1)) and result_43);
ds4_9 <= \c$ds_app_arg_18\.Tup3_sel1_Tup10_0 when ds4_selection_res_9 else
\c$ds_app_arg_18\.Tup3_sel2_Tup10_1;
-- register begin
cds_app_arg_19_register : process(clk,rst)
begin
if rst = '1' then
\c$ds_app_arg_19\ <= Prop_combined_topEntity_types.array_of_signed_2'( to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2) );
elsif rising_edge(clk) then
if en then
\c$ds_app_arg_19\ <= result_40.Tup2_3_sel0_array_of_signed_2;
end if;
end if;
end process;
-- register end
result_39 <= result_40.Tup2_3_sel1_boolean;
\c$vec_17\ <= (Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2))) & Prop_combined_topEntity_types.array_of_signed_2'(\c$ds_app_arg_19\)));
\c$app_arg_16_23\ <= (\c$vec_17\(0 to 12-1),\c$vec_17\(12 to \c$vec_17\'high));
\c$vec_18\ <= \c$app_arg_16_23\.Tup2_7_sel0_array_of_signed_2_0;
-- imap begin
imap_5 : block
function max_2 (l,r : in natural) return natural is
begin
if l > r then return l;
else return r;
end if;
end function;
begin
imap_6 : for i_2 in \c$app_arg_16\'range generate
begin
fun_7 : block
signal \c$app_arg_32\ : signed(63 downto 0);
signal \c$case_alt_61\ : signed(1 downto 0);
signal \c$case_alt_62\ : signed(1 downto 0);
signal \c$case_alt_63\ : signed(1 downto 0);
signal \c$case_alt_64\ : signed(1 downto 0);
signal \c$case_alt_65\ : signed(1 downto 0);
-- Queue.hs:20:1-12
signal fm1_14 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal fm2_14 : unsigned(4 downto 0);
signal \c$app_arg_33\ : boolean;
-- Queue.hs:20:1-12
signal tm1_14 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tm2_14 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal mm1_14 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal mm2_14 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal ff1_14 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal ff2_14 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tt1_14 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tt2_14 : unsigned(4 downto 0);
signal \c$case_alt_selection_res_44\ : boolean;
signal \c$case_alt_selection_res_45\ : boolean;
signal \c$case_alt_selection_res_46\ : boolean;
signal \c$case_alt_selection_res_47\ : boolean;
signal \c$case_alt_selection_res_48\ : boolean;
begin
\c$app_arg_16\(i_2) <= \c$case_alt_61\;
\c$app_arg_32\ <= signed(std_logic_vector(resize(to_unsigned(i_2,max_2(1,integer(ceil(log2(real(12)))))),64)));
\c$case_alt_selection_res_44\ <= ((resize(unsigned(std_logic_vector(\c$app_arg_32\)),5)) <= tt2_14) and ((resize(unsigned(std_logic_vector(\c$app_arg_32\)),5)) >= tt1_14);
\c$case_alt_61\ <= to_signed(1,2) when \c$case_alt_selection_res_44\ else
\c$case_alt_62\;
\c$case_alt_selection_res_45\ <= ((resize(unsigned(std_logic_vector(\c$app_arg_32\)),5)) <= ff2_14) and ((resize(unsigned(std_logic_vector(\c$app_arg_32\)),5)) >= ff1_14);
\c$case_alt_62\ <= to_signed(-1,2) when \c$case_alt_selection_res_45\ else
\c$case_alt_63\;
\c$case_alt_selection_res_46\ <= ((resize(unsigned(std_logic_vector(\c$app_arg_32\)),5)) <= mm2_14) and ((resize(unsigned(std_logic_vector(\c$app_arg_32\)),5)) >= mm1_14);
\c$case_alt_63\ <= to_signed(0,2) when \c$case_alt_selection_res_46\ else
\c$case_alt_64\;
\c$case_alt_selection_res_47\ <= ((resize(unsigned(std_logic_vector(\c$app_arg_32\)),5)) <= tm2_14) and (((resize(unsigned(std_logic_vector(\c$app_arg_32\)),5)) >= tm1_14) and \c$app_arg_33\);
\c$case_alt_64\ <= to_signed(1,2) when \c$case_alt_selection_res_47\ else
\c$case_alt_65\;
\c$case_alt_selection_res_48\ <= ((resize(unsigned(std_logic_vector(\c$app_arg_32\)),5)) <= fm2_14) and (((resize(unsigned(std_logic_vector(\c$app_arg_32\)),5)) >= fm1_14) and \c$app_arg_33\);
\c$case_alt_65\ <= to_signed(-1,2) when \c$case_alt_selection_res_48\ else
\c$vec_18\(i_2);
fm1_14 <= result_41.Tup10_sel8_unsigned_8;
fm2_14 <= result_41.Tup10_sel9_unsigned_9;
\c$app_arg_33\ <= \c$vec_18\(i_2) = to_signed(0,2);
tm1_14 <= result_41.Tup10_sel6_unsigned_6;
tm2_14 <= result_41.Tup10_sel7_unsigned_7;
mm1_14 <= result_41.Tup10_sel4_unsigned_4;
mm2_14 <= result_41.Tup10_sel5_unsigned_5;
ff1_14 <= result_41.Tup10_sel2_unsigned_2;
ff2_14 <= result_41.Tup10_sel3_unsigned_3;
tt1_14 <= result_41.Tup10_sel0_unsigned_0;
tt2_14 <= result_41.Tup10_sel1_unsigned_1;
end block;
end generate;
end block;
-- imap end
-- index begin
indexVec_8 : block
signal vec_index_8 : integer range 0 to 12-1;
begin
vec_index_8 <= to_integer(to_signed(11,64))
-- pragma translate_off
mod 12
-- pragma translate_on
;
\c$app_arg_17\ <= \c$ds_app_arg_19\(vec_index_8);
end block;
-- index end
result_40 <= ( Tup2_3_sel0_array_of_signed_2 => \c$app_arg_16\
, Tup2_3_sel1_boolean => \c$app_arg_17\ = to_signed(1,2) );
-- register begin
cds_app_arg_20_register : process(clk,rst)
begin
if rst = '1' then
\c$ds_app_arg_20\ <= ( Tup3_sel0_unsigned => to_unsigned(1,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(0,5)
, Tup10_sel5_unsigned_5 => to_unsigned(0,5)
, Tup10_sel6_unsigned_6 => to_unsigned(11,5)
, Tup10_sel7_unsigned_7 => to_unsigned(11,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(7,5)
, Tup10_sel3_unsigned_3 => to_unsigned(11,5)
, Tup10_sel4_unsigned_4 => to_unsigned(0,5)
, Tup10_sel5_unsigned_5 => to_unsigned(0,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) ) );
elsif rising_edge(clk) then
if en then
\c$ds_app_arg_20\ <= result_42.Tup2_0_sel0_Tup3;
end if;
end if;
end process;
-- register end
result_41 <= result_42.Tup2_0_sel1_Tup10;
result_42 <= ( Tup2_0_sel0_Tup3 => \c$ds_app_arg_20\
, Tup2_0_sel1_Tup10 => ( Tup10_sel0_unsigned_0 => ds4_10.Tup10_sel0_unsigned_0
, Tup10_sel1_unsigned_1 => ds4_10.Tup10_sel1_unsigned_1
, Tup10_sel2_unsigned_2 => ds4_10.Tup10_sel2_unsigned_2
, Tup10_sel3_unsigned_3 => ds4_10.Tup10_sel3_unsigned_3
, Tup10_sel4_unsigned_4 => ds4_10.Tup10_sel4_unsigned_4
, Tup10_sel5_unsigned_5 => ds4_10.Tup10_sel5_unsigned_5
, Tup10_sel6_unsigned_6 => ds4_10.Tup10_sel6_unsigned_6
, Tup10_sel7_unsigned_7 => ds4_10.Tup10_sel7_unsigned_7
, Tup10_sel8_unsigned_8 => ds4_10.Tup10_sel8_unsigned_8
, Tup10_sel9_unsigned_9 => ds4_10.Tup10_sel9_unsigned_9 ) );
opcode_10 <= \c$ds_app_arg_20\.Tup3_sel0_unsigned;
ds4_selection_res_10 <= ((opcode_10 = to_unsigned(0,1)) and (wash or wash)) or ((opcode_10 = to_unsigned(1,1)) and wash);
ds4_10 <= \c$ds_app_arg_20\.Tup3_sel1_Tup10_0 when ds4_selection_res_10 else
\c$ds_app_arg_20\.Tup3_sel2_Tup10_1;
-- register begin
cds_app_arg_21_register : process(clk,rst)
begin
if rst = '1' then
\c$ds_app_arg_21\ <= Prop_combined_topEntity_types.array_of_signed_2'( to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2)
, to_signed(0,2) );
elsif rising_edge(clk) then
if en then
\c$ds_app_arg_21\ <= result_44.Tup2_3_sel0_array_of_signed_2;
end if;
end if;
end process;
-- register end
result_43 <= result_44.Tup2_3_sel1_boolean;
\c$vec_19\ <= (Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2))) & Prop_combined_topEntity_types.array_of_signed_2'(\c$ds_app_arg_21\)));
\c$app_arg_18_25\ <= (\c$vec_19\(0 to 12-1),\c$vec_19\(12 to \c$vec_19\'high));
\c$vec_20\ <= \c$app_arg_18_25\.Tup2_7_sel0_array_of_signed_2_0;
-- imap begin
imap_7 : block
function max_3 (l,r : in natural) return natural is
begin
if l > r then return l;
else return r;
end if;
end function;
begin
imap_8 : for i_3 in \c$app_arg_18\'range generate
begin
fun_8 : block
signal \c$app_arg_34\ : signed(63 downto 0);
signal \c$case_alt_66\ : signed(1 downto 0);
signal \c$case_alt_67\ : signed(1 downto 0);
signal \c$case_alt_68\ : signed(1 downto 0);
signal \c$case_alt_69\ : signed(1 downto 0);
signal \c$case_alt_70\ : signed(1 downto 0);
-- Queue.hs:20:1-12
signal fm1_15 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal fm2_15 : unsigned(4 downto 0);
signal \c$app_arg_35\ : boolean;
-- Queue.hs:20:1-12
signal tm1_15 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tm2_15 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal mm1_15 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal mm2_15 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal ff1_15 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal ff2_15 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tt1_15 : unsigned(4 downto 0);
-- Queue.hs:20:1-12
signal tt2_15 : unsigned(4 downto 0);
signal \c$case_alt_selection_res_49\ : boolean;
signal \c$case_alt_selection_res_50\ : boolean;
signal \c$case_alt_selection_res_51\ : boolean;
signal \c$case_alt_selection_res_52\ : boolean;
signal \c$case_alt_selection_res_53\ : boolean;
begin
\c$app_arg_18\(i_3) <= \c$case_alt_66\;
\c$app_arg_34\ <= signed(std_logic_vector(resize(to_unsigned(i_3,max_3(1,integer(ceil(log2(real(12)))))),64)));
\c$case_alt_selection_res_49\ <= ((resize(unsigned(std_logic_vector(\c$app_arg_34\)),5)) <= tt2_15) and ((resize(unsigned(std_logic_vector(\c$app_arg_34\)),5)) >= tt1_15);
\c$case_alt_66\ <= to_signed(1,2) when \c$case_alt_selection_res_49\ else
\c$case_alt_67\;
\c$case_alt_selection_res_50\ <= ((resize(unsigned(std_logic_vector(\c$app_arg_34\)),5)) <= ff2_15) and ((resize(unsigned(std_logic_vector(\c$app_arg_34\)),5)) >= ff1_15);
\c$case_alt_67\ <= to_signed(-1,2) when \c$case_alt_selection_res_50\ else
\c$case_alt_68\;
\c$case_alt_selection_res_51\ <= ((resize(unsigned(std_logic_vector(\c$app_arg_34\)),5)) <= mm2_15) and ((resize(unsigned(std_logic_vector(\c$app_arg_34\)),5)) >= mm1_15);
\c$case_alt_68\ <= to_signed(0,2) when \c$case_alt_selection_res_51\ else
\c$case_alt_69\;
\c$case_alt_selection_res_52\ <= ((resize(unsigned(std_logic_vector(\c$app_arg_34\)),5)) <= tm2_15) and (((resize(unsigned(std_logic_vector(\c$app_arg_34\)),5)) >= tm1_15) and \c$app_arg_35\);
\c$case_alt_69\ <= to_signed(1,2) when \c$case_alt_selection_res_52\ else
\c$case_alt_70\;
\c$case_alt_selection_res_53\ <= ((resize(unsigned(std_logic_vector(\c$app_arg_34\)),5)) <= fm2_15) and (((resize(unsigned(std_logic_vector(\c$app_arg_34\)),5)) >= fm1_15) and \c$app_arg_35\);
\c$case_alt_70\ <= to_signed(-1,2) when \c$case_alt_selection_res_53\ else
\c$vec_20\(i_3);
fm1_15 <= result_45.Tup10_sel8_unsigned_8;
fm2_15 <= result_45.Tup10_sel9_unsigned_9;
\c$app_arg_35\ <= \c$vec_20\(i_3) = to_signed(0,2);
tm1_15 <= result_45.Tup10_sel6_unsigned_6;
tm2_15 <= result_45.Tup10_sel7_unsigned_7;
mm1_15 <= result_45.Tup10_sel4_unsigned_4;
mm2_15 <= result_45.Tup10_sel5_unsigned_5;
ff1_15 <= result_45.Tup10_sel2_unsigned_2;
ff2_15 <= result_45.Tup10_sel3_unsigned_3;
tt1_15 <= result_45.Tup10_sel0_unsigned_0;
tt2_15 <= result_45.Tup10_sel1_unsigned_1;
end block;
end generate;
end block;
-- imap end
-- index begin
indexVec_9 : block
signal vec_index_9 : integer range 0 to 12-1;
begin
vec_index_9 <= to_integer(to_signed(11,64))
-- pragma translate_off
mod 12
-- pragma translate_on
;
\c$app_arg_19\ <= \c$ds_app_arg_21\(vec_index_9);
end block;
-- index end
result_44 <= ( Tup2_3_sel0_array_of_signed_2 => \c$app_arg_18\
, Tup2_3_sel1_boolean => \c$app_arg_19\ = to_signed(1,2) );
-- register begin
cds_app_arg_22_register : process(clk,rst)
begin
if rst = '1' then
\c$ds_app_arg_22\ <= ( Tup3_sel0_unsigned => to_unsigned(1,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(0,5)
, Tup10_sel3_unsigned_3 => to_unsigned(0,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(0,5)
, Tup10_sel1_unsigned_1 => to_unsigned(0,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) ) );
elsif rising_edge(clk) then
if en then
\c$ds_app_arg_22\ <= result_46.Tup2_0_sel0_Tup3;
end if;
end if;
end process;
-- register end
result_45 <= result_46.Tup2_0_sel1_Tup10;
result_46 <= ( Tup2_0_sel0_Tup3 => \c$ds_app_arg_22\
, Tup2_0_sel1_Tup10 => ( Tup10_sel0_unsigned_0 => ds4_11.Tup10_sel0_unsigned_0
, Tup10_sel1_unsigned_1 => ds4_11.Tup10_sel1_unsigned_1
, Tup10_sel2_unsigned_2 => ds4_11.Tup10_sel2_unsigned_2
, Tup10_sel3_unsigned_3 => ds4_11.Tup10_sel3_unsigned_3
, Tup10_sel4_unsigned_4 => ds4_11.Tup10_sel4_unsigned_4
, Tup10_sel5_unsigned_5 => ds4_11.Tup10_sel5_unsigned_5
, Tup10_sel6_unsigned_6 => ds4_11.Tup10_sel6_unsigned_6
, Tup10_sel7_unsigned_7 => ds4_11.Tup10_sel7_unsigned_7
, Tup10_sel8_unsigned_8 => ds4_11.Tup10_sel8_unsigned_8
, Tup10_sel9_unsigned_9 => ds4_11.Tup10_sel9_unsigned_9 ) );
opcode_11 <= \c$ds_app_arg_22\.Tup3_sel0_unsigned;
ds4_selection_res_11 <= ((opcode_11 = to_unsigned(0,1)) and (start or start)) or ((opcode_11 = to_unsigned(1,1)) and start);
ds4_11 <= \c$ds_app_arg_22\.Tup3_sel1_Tup10_0 when ds4_selection_res_11 else
\c$ds_app_arg_22\.Tup3_sel2_Tup10_1;
-- register begin
cds_app_arg_23_register : process(clk,rst)
begin
if rst = '1' then
\c$ds_app_arg_23\ <= Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2));
elsif rising_edge(clk) then
if en then
\c$ds_app_arg_23\ <= result_48.Tup2_1_sel0_array_of_signed_2;
end if;
end if;
end process;
-- register end
result_47 <= result_48.Tup2_1_sel1_boolean;
\c$case_alt_selection_res_54\ <= (to_unsigned(0,5) <= tt2_6) and (to_unsigned(0,5) >= tt1_6);
\c$case_alt_30\ <= to_signed(1,2) when \c$case_alt_selection_res_54\ else
\c$case_alt_31\;
\c$case_alt_selection_res_55\ <= (to_unsigned(0,5) <= ff2_6) and (to_unsigned(0,5) >= ff1_6);
\c$case_alt_31\ <= to_signed(-1,2) when \c$case_alt_selection_res_55\ else
\c$case_alt_32\;
\c$case_alt_selection_res_56\ <= (to_unsigned(0,5) <= mm2_6) and (to_unsigned(0,5) >= mm1_6);
\c$case_alt_32\ <= to_signed(0,2) when \c$case_alt_selection_res_56\ else
\c$case_alt_33\;
\c$case_alt_selection_res_57\ <= (to_unsigned(0,5) <= tm2_6) and ((to_unsigned(0,5) >= tm1_6) and \c$app_arg_20\);
\c$case_alt_33\ <= to_signed(1,2) when \c$case_alt_selection_res_57\ else
\c$case_alt_34\;
\c$case_alt_selection_res_58\ <= (to_unsigned(0,5) <= fm2_6) and ((to_unsigned(0,5) >= fm1_6) and \c$app_arg_20\);
\c$vec_21\ <= (Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2))) & Prop_combined_topEntity_types.array_of_signed_2'(\c$ds_app_arg_23\)));
\c$case_alt_sel_alt_131\ <= (\c$vec_21\(0 to 1-1),\c$vec_21\(1 to \c$vec_21\'high));
\c$case_alt_34\ <= to_signed(-1,2) when \c$case_alt_selection_res_58\ else
\c$case_alt_sel_alt_131\.Tup2_5_sel0_array_of_signed_2_0(0);
fm1_6 <= result_49.Tup10_sel8_unsigned_8;
fm2_6 <= result_49.Tup10_sel9_unsigned_9;
\c$vec_22\ <= (Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2))) & Prop_combined_topEntity_types.array_of_signed_2'(\c$ds_app_arg_23\)));
\c$app_arg_20_28\ <= (\c$vec_22\(0 to 1-1),\c$vec_22\(1 to \c$vec_22\'high));
\c$app_arg_20\ <= \c$app_arg_20_28\.Tup2_5_sel0_array_of_signed_2_0(0) = to_signed(0,2);
tm1_6 <= result_49.Tup10_sel6_unsigned_6;
tm2_6 <= result_49.Tup10_sel7_unsigned_7;
mm1_6 <= result_49.Tup10_sel4_unsigned_4;
mm2_6 <= result_49.Tup10_sel5_unsigned_5;
ff1_6 <= result_49.Tup10_sel2_unsigned_2;
ff2_6 <= result_49.Tup10_sel3_unsigned_3;
tt1_6 <= result_49.Tup10_sel0_unsigned_0;
tt2_6 <= result_49.Tup10_sel1_unsigned_1;
-- index begin
indexVec_10 : block
signal vec_index_10 : integer range 0 to 1-1;
begin
vec_index_10 <= to_integer(to_signed(0,64))
-- pragma translate_off
mod 1
-- pragma translate_on
;
\c$app_arg_21\ <= \c$ds_app_arg_23\(vec_index_10);
end block;
-- index end
result_48 <= ( Tup2_1_sel0_array_of_signed_2 => Prop_combined_topEntity_types.array_of_signed_2'(0 => \c$case_alt_30\)
, Tup2_1_sel1_boolean => \c$app_arg_21\ = to_signed(1,2) );
-- register begin
cds_app_arg_24_register : process(clk,rst)
begin
if rst = '1' then
\c$ds_app_arg_24\ <= ( Tup3_sel0_unsigned => to_unsigned(0,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(0,5)
, Tup10_sel1_unsigned_1 => to_unsigned(0,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(0,5)
, Tup10_sel3_unsigned_3 => to_unsigned(0,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) ) );
elsif rising_edge(clk) then
if en then
\c$ds_app_arg_24\ <= result_50.Tup2_0_sel0_Tup3;
end if;
end if;
end process;
-- register end
result_49 <= result_50.Tup2_0_sel1_Tup10;
result_50 <= ( Tup2_0_sel0_Tup3 => \c$ds_app_arg_24\
, Tup2_0_sel1_Tup10 => ( Tup10_sel0_unsigned_0 => ds4_12.Tup10_sel0_unsigned_0
, Tup10_sel1_unsigned_1 => ds4_12.Tup10_sel1_unsigned_1
, Tup10_sel2_unsigned_2 => ds4_12.Tup10_sel2_unsigned_2
, Tup10_sel3_unsigned_3 => ds4_12.Tup10_sel3_unsigned_3
, Tup10_sel4_unsigned_4 => ds4_12.Tup10_sel4_unsigned_4
, Tup10_sel5_unsigned_5 => ds4_12.Tup10_sel5_unsigned_5
, Tup10_sel6_unsigned_6 => ds4_12.Tup10_sel6_unsigned_6
, Tup10_sel7_unsigned_7 => ds4_12.Tup10_sel7_unsigned_7
, Tup10_sel8_unsigned_8 => ds4_12.Tup10_sel8_unsigned_8
, Tup10_sel9_unsigned_9 => ds4_12.Tup10_sel9_unsigned_9 ) );
opcode_12 <= \c$ds_app_arg_24\.Tup3_sel0_unsigned;
ds4_selection_res_12 <= ((opcode_12 = to_unsigned(0,1)) and (result_55 or result_51)) or ((opcode_12 = to_unsigned(1,1)) and result_55);
ds4_12 <= \c$ds_app_arg_24\.Tup3_sel1_Tup10_0 when ds4_selection_res_12 else
\c$ds_app_arg_24\.Tup3_sel2_Tup10_1;
-- register begin
cds_app_arg_25_register : process(clk,rst)
begin
if rst = '1' then
\c$ds_app_arg_25\ <= Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2));
elsif rising_edge(clk) then
if en then
\c$ds_app_arg_25\ <= result_52.Tup2_1_sel0_array_of_signed_2;
end if;
end if;
end process;
-- register end
result_51 <= result_52.Tup2_1_sel1_boolean;
\c$case_alt_selection_res_59\ <= (to_unsigned(0,5) <= tt2_7) and (to_unsigned(0,5) >= tt1_7);
\c$case_alt_35\ <= to_signed(1,2) when \c$case_alt_selection_res_59\ else
\c$case_alt_36\;
\c$case_alt_selection_res_60\ <= (to_unsigned(0,5) <= ff2_7) and (to_unsigned(0,5) >= ff1_7);
\c$case_alt_36\ <= to_signed(-1,2) when \c$case_alt_selection_res_60\ else
\c$case_alt_37\;
\c$case_alt_selection_res_61\ <= (to_unsigned(0,5) <= mm2_7) and (to_unsigned(0,5) >= mm1_7);
\c$case_alt_37\ <= to_signed(0,2) when \c$case_alt_selection_res_61\ else
\c$case_alt_38\;
\c$case_alt_selection_res_62\ <= (to_unsigned(0,5) <= tm2_7) and ((to_unsigned(0,5) >= tm1_7) and \c$app_arg_22\);
\c$case_alt_38\ <= to_signed(1,2) when \c$case_alt_selection_res_62\ else
\c$case_alt_39\;
\c$case_alt_selection_res_63\ <= (to_unsigned(0,5) <= fm2_7) and ((to_unsigned(0,5) >= fm1_7) and \c$app_arg_22\);
\c$vec_23\ <= (Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2))) & Prop_combined_topEntity_types.array_of_signed_2'(\c$ds_app_arg_25\)));
\c$case_alt_sel_alt_143\ <= (\c$vec_23\(0 to 1-1),\c$vec_23\(1 to \c$vec_23\'high));
\c$case_alt_39\ <= to_signed(-1,2) when \c$case_alt_selection_res_63\ else
\c$case_alt_sel_alt_143\.Tup2_5_sel0_array_of_signed_2_0(0);
fm1_7 <= result_53.Tup10_sel8_unsigned_8;
fm2_7 <= result_53.Tup10_sel9_unsigned_9;
\c$vec_24\ <= (Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2))) & Prop_combined_topEntity_types.array_of_signed_2'(\c$ds_app_arg_25\)));
\c$app_arg_22_31\ <= (\c$vec_24\(0 to 1-1),\c$vec_24\(1 to \c$vec_24\'high));
\c$app_arg_22\ <= \c$app_arg_22_31\.Tup2_5_sel0_array_of_signed_2_0(0) = to_signed(0,2);
tm1_7 <= result_53.Tup10_sel6_unsigned_6;
tm2_7 <= result_53.Tup10_sel7_unsigned_7;
mm1_7 <= result_53.Tup10_sel4_unsigned_4;
mm2_7 <= result_53.Tup10_sel5_unsigned_5;
ff1_7 <= result_53.Tup10_sel2_unsigned_2;
ff2_7 <= result_53.Tup10_sel3_unsigned_3;
tt1_7 <= result_53.Tup10_sel0_unsigned_0;
tt2_7 <= result_53.Tup10_sel1_unsigned_1;
-- index begin
indexVec_11 : block
signal vec_index_11 : integer range 0 to 1-1;
begin
vec_index_11 <= to_integer(to_signed(0,64))
-- pragma translate_off
mod 1
-- pragma translate_on
;
\c$app_arg_23\ <= \c$ds_app_arg_25\(vec_index_11);
end block;
-- index end
result_52 <= ( Tup2_1_sel0_array_of_signed_2 => Prop_combined_topEntity_types.array_of_signed_2'(0 => \c$case_alt_35\)
, Tup2_1_sel1_boolean => \c$app_arg_23\ = to_signed(1,2) );
-- register begin
cds_app_arg_26_register : process(clk,rst)
begin
if rst = '1' then
\c$ds_app_arg_26\ <= ( Tup3_sel0_unsigned => to_unsigned(1,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(0,5)
, Tup10_sel3_unsigned_3 => to_unsigned(0,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(0,5)
, Tup10_sel1_unsigned_1 => to_unsigned(0,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) ) );
elsif rising_edge(clk) then
if en then
\c$ds_app_arg_26\ <= result_54.Tup2_0_sel0_Tup3;
end if;
end if;
end process;
-- register end
result_53 <= result_54.Tup2_0_sel1_Tup10;
result_54 <= ( Tup2_0_sel0_Tup3 => \c$ds_app_arg_26\
, Tup2_0_sel1_Tup10 => ( Tup10_sel0_unsigned_0 => ds4_13.Tup10_sel0_unsigned_0
, Tup10_sel1_unsigned_1 => ds4_13.Tup10_sel1_unsigned_1
, Tup10_sel2_unsigned_2 => ds4_13.Tup10_sel2_unsigned_2
, Tup10_sel3_unsigned_3 => ds4_13.Tup10_sel3_unsigned_3
, Tup10_sel4_unsigned_4 => ds4_13.Tup10_sel4_unsigned_4
, Tup10_sel5_unsigned_5 => ds4_13.Tup10_sel5_unsigned_5
, Tup10_sel6_unsigned_6 => ds4_13.Tup10_sel6_unsigned_6
, Tup10_sel7_unsigned_7 => ds4_13.Tup10_sel7_unsigned_7
, Tup10_sel8_unsigned_8 => ds4_13.Tup10_sel8_unsigned_8
, Tup10_sel9_unsigned_9 => ds4_13.Tup10_sel9_unsigned_9 ) );
opcode_13 <= \c$ds_app_arg_26\.Tup3_sel0_unsigned;
ds4_selection_res_13 <= ((opcode_13 = to_unsigned(0,1)) and (dry or dry)) or ((opcode_13 = to_unsigned(1,1)) and dry);
ds4_13 <= \c$ds_app_arg_26\.Tup3_sel1_Tup10_0 when ds4_selection_res_13 else
\c$ds_app_arg_26\.Tup3_sel2_Tup10_1;
-- register begin
cds_app_arg_27_register : process(clk,rst)
begin
if rst = '1' then
\c$ds_app_arg_27\ <= Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2));
elsif rising_edge(clk) then
if en then
\c$ds_app_arg_27\ <= result_56.Tup2_1_sel0_array_of_signed_2;
end if;
end if;
end process;
-- register end
result_55 <= result_56.Tup2_1_sel1_boolean;
\c$case_alt_selection_res_64\ <= (to_unsigned(0,5) <= tt2_8) and (to_unsigned(0,5) >= tt1_8);
\c$case_alt_40\ <= to_signed(1,2) when \c$case_alt_selection_res_64\ else
\c$case_alt_41\;
\c$case_alt_selection_res_65\ <= (to_unsigned(0,5) <= ff2_8) and (to_unsigned(0,5) >= ff1_8);
\c$case_alt_41\ <= to_signed(-1,2) when \c$case_alt_selection_res_65\ else
\c$case_alt_42\;
\c$case_alt_selection_res_66\ <= (to_unsigned(0,5) <= mm2_8) and (to_unsigned(0,5) >= mm1_8);
\c$case_alt_42\ <= to_signed(0,2) when \c$case_alt_selection_res_66\ else
\c$case_alt_43\;
\c$case_alt_selection_res_67\ <= (to_unsigned(0,5) <= tm2_8) and ((to_unsigned(0,5) >= tm1_8) and \c$app_arg_24\);
\c$case_alt_43\ <= to_signed(1,2) when \c$case_alt_selection_res_67\ else
\c$case_alt_44\;
\c$case_alt_selection_res_68\ <= (to_unsigned(0,5) <= fm2_8) and ((to_unsigned(0,5) >= fm1_8) and \c$app_arg_24\);
\c$vec_25\ <= (Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2))) & Prop_combined_topEntity_types.array_of_signed_2'(\c$ds_app_arg_27\)));
\c$case_alt_sel_alt_155\ <= (\c$vec_25\(0 to 1-1),\c$vec_25\(1 to \c$vec_25\'high));
\c$case_alt_44\ <= to_signed(-1,2) when \c$case_alt_selection_res_68\ else
\c$case_alt_sel_alt_155\.Tup2_5_sel0_array_of_signed_2_0(0);
fm1_8 <= result_57.Tup10_sel8_unsigned_8;
fm2_8 <= result_57.Tup10_sel9_unsigned_9;
\c$vec_26\ <= (Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(Prop_combined_topEntity_types.array_of_signed_2'(0 => to_signed(0,2))) & Prop_combined_topEntity_types.array_of_signed_2'(\c$ds_app_arg_27\)));
\c$app_arg_24_34\ <= (\c$vec_26\(0 to 1-1),\c$vec_26\(1 to \c$vec_26\'high));
\c$app_arg_24\ <= \c$app_arg_24_34\.Tup2_5_sel0_array_of_signed_2_0(0) = to_signed(0,2);
tm1_8 <= result_57.Tup10_sel6_unsigned_6;
tm2_8 <= result_57.Tup10_sel7_unsigned_7;
mm1_8 <= result_57.Tup10_sel4_unsigned_4;
mm2_8 <= result_57.Tup10_sel5_unsigned_5;
ff1_8 <= result_57.Tup10_sel2_unsigned_2;
ff2_8 <= result_57.Tup10_sel3_unsigned_3;
tt1_8 <= result_57.Tup10_sel0_unsigned_0;
tt2_8 <= result_57.Tup10_sel1_unsigned_1;
-- index begin
indexVec_12 : block
signal vec_index_12 : integer range 0 to 1-1;
begin
vec_index_12 <= to_integer(to_signed(0,64))
-- pragma translate_off
mod 1
-- pragma translate_on
;
\c$app_arg_25\ <= \c$ds_app_arg_27\(vec_index_12);
end block;
-- index end
result_56 <= ( Tup2_1_sel0_array_of_signed_2 => Prop_combined_topEntity_types.array_of_signed_2'(0 => \c$case_alt_40\)
, Tup2_1_sel1_boolean => \c$app_arg_25\ = to_signed(1,2) );
-- register begin
cds_app_arg_28_register : process(clk,rst)
begin
if rst = '1' then
\c$ds_app_arg_28\ <= ( Tup3_sel0_unsigned => to_unsigned(1,1)
, Tup3_sel1_Tup10_0 => ( Tup10_sel0_unsigned_0 => to_unsigned(31,5)
, Tup10_sel1_unsigned_1 => to_unsigned(31,5)
, Tup10_sel2_unsigned_2 => to_unsigned(0,5)
, Tup10_sel3_unsigned_3 => to_unsigned(0,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) )
, Tup3_sel2_Tup10_1 => ( Tup10_sel0_unsigned_0 => to_unsigned(0,5)
, Tup10_sel1_unsigned_1 => to_unsigned(0,5)
, Tup10_sel2_unsigned_2 => to_unsigned(31,5)
, Tup10_sel3_unsigned_3 => to_unsigned(31,5)
, Tup10_sel4_unsigned_4 => to_unsigned(31,5)
, Tup10_sel5_unsigned_5 => to_unsigned(31,5)
, Tup10_sel6_unsigned_6 => to_unsigned(31,5)
, Tup10_sel7_unsigned_7 => to_unsigned(31,5)
, Tup10_sel8_unsigned_8 => to_unsigned(31,5)
, Tup10_sel9_unsigned_9 => to_unsigned(31,5) ) );
elsif rising_edge(clk) then
if en then
\c$ds_app_arg_28\ <= result_58.Tup2_0_sel0_Tup3;
end if;
end if;
end process;
-- register end
result_57 <= result_58.Tup2_0_sel1_Tup10;
result_58 <= ( Tup2_0_sel0_Tup3 => \c$ds_app_arg_28\
, Tup2_0_sel1_Tup10 => ( Tup10_sel0_unsigned_0 => ds4_14.Tup10_sel0_unsigned_0
, Tup10_sel1_unsigned_1 => ds4_14.Tup10_sel1_unsigned_1
, Tup10_sel2_unsigned_2 => ds4_14.Tup10_sel2_unsigned_2
, Tup10_sel3_unsigned_3 => ds4_14.Tup10_sel3_unsigned_3
, Tup10_sel4_unsigned_4 => ds4_14.Tup10_sel4_unsigned_4
, Tup10_sel5_unsigned_5 => ds4_14.Tup10_sel5_unsigned_5
, Tup10_sel6_unsigned_6 => ds4_14.Tup10_sel6_unsigned_6
, Tup10_sel7_unsigned_7 => ds4_14.Tup10_sel7_unsigned_7
, Tup10_sel8_unsigned_8 => ds4_14.Tup10_sel8_unsigned_8
, Tup10_sel9_unsigned_9 => ds4_14.Tup10_sel9_unsigned_9 ) );
opcode_14 <= \c$ds_app_arg_28\.Tup3_sel0_unsigned;
ds4_selection_res_14 <= ((opcode_14 = to_unsigned(0,1)) and (drain or drain)) or ((opcode_14 = to_unsigned(1,1)) and drain);
ds4_14 <= \c$ds_app_arg_28\.Tup3_sel1_Tup10_0 when ds4_selection_res_14 else
\c$ds_app_arg_28\.Tup3_sel2_Tup10_1;
ccase_alt_0 <= ccase_alt.Tup5_sel0_boolean_0;
ccase_alt_1 <= ccase_alt.Tup5_sel1_boolean_1;
ccase_alt_2 <= ccase_alt.Tup5_sel2_boolean_2;
ccase_alt_3 <= ccase_alt.Tup5_sel3_boolean_3;
ccase_alt_4 <= ccase_alt.Tup5_sel4_boolean_4;
end;